summaryrefslogtreecommitdiff
authorShuide Chen <shuide.chen@amlogic.com>2020-08-25 09:41:47 (GMT)
committer Bin Yang <bin.yang@amlogic.com>2020-11-06 09:01:50 (GMT)
commitb0759e095ea4e739f896f75553bc15c13979b68a (patch)
tree640fca8c1d35829a67fe39f9a29df27fe8ec9eb9
parent7d09f468dff0e1d9b8532b832a4408fbe02c4224 (diff)
downloadcommon-b0759e095ea4e739f896f75553bc15c13979b68a.zip
common-b0759e095ea4e739f896f75553bc15c13979b68a.tar.gz
common-b0759e095ea4e739f896f75553bc15c13979b68a.tar.bz2
blueprint: implement soong configurable variables [1/6]
PD#SWPL-32368 Problem: blueprint cannot support condition build. board config and other device configurations cannot used for build Solution: export board configure variables to vendor config file. can implement go lang to do condition build Verify: build and test on deadpool Change-Id: I399f50b8ad01198a8b5d64c0bf4cb09548eceda6 Signed-off-by: Shuide Chen <shuide.chen@amlogic.com>
Diffstat
-rw-r--r--Android.bp11
-rw-r--r--[-rwxr-xr-x]Android.mk0
-rw-r--r--[-rwxr-xr-x]amazon/prime.xml0
-rw-r--r--[-rwxr-xr-x]audio/a2dp_audio_policy_configuration.xml0
-rw-r--r--audio/hearing_aid_audio_policy_configuration.xml32
-rw-r--r--audio/msd_audio_policy_configuration.xml122
-rw-r--r--audio/primary_audio_policy_configuration.xml62
-rw-r--r--audio/stub_audio_policy_configuration.xml90
-rw-r--r--[-rwxr-xr-x]common/products/mbox/upgrade_4.9/aml_emmc_logic_table.xml0
-rw-r--r--[-rwxr-xr-x]config/lowmemorykiller.txt0
-rw-r--r--[-rwxr-xr-x]config/lowmemorykiller_2G.txt0
-rw-r--r--[-rwxr-xr-x]config/lowmemorykiller_512M.txt0
-rw-r--r--[-rwxr-xr-x]core_amlogic.mk0
-rw-r--r--[-rwxr-xr-x]galilei/upgrade/aml_emmc_logic_table.xml0
-rw-r--r--[-rwxr-xr-x]gpu/dvalin-kernel.mk0
-rw-r--r--[-rwxr-xr-x]gpu/dvalin-user-arm64.mk0
-rw-r--r--[-rwxr-xr-x]gpu/gondul-kernel.mk0
-rw-r--r--[-rwxr-xr-x]gpu/gondul-user-arm64.mk0
-rw-r--r--[-rwxr-xr-x]gpu/mali450-kernel.mk0
-rw-r--r--[-rwxr-xr-x]gpu/mali450-user-arm64.mk0
-rw-r--r--[-rwxr-xr-x]gpu/t82x-kernel.mk0
-rw-r--r--[-rwxr-xr-x]gpu/t82x-user-arm64.mk0
-rw-r--r--[-rwxr-xr-x]gpu/t83x-kernel.mk0
-rw-r--r--[-rwxr-xr-x]gpu/t83x-user-arm64.mk0
-rw-r--r--[-rwxr-xr-x]hidl_manifests/manifest_boot.xml0
-rw-r--r--[-rwxr-xr-x]hidl_manifests/manifest_cas.xml0
-rw-r--r--[-rwxr-xr-x]hidl_manifests/manifest_common.xml0
-rw-r--r--[-rwxr-xr-x]hidl_manifests/manifest_ir.xml0
-rw-r--r--[-rwxr-xr-x]hidl_manifests/manifest_kernel5.xml0
-rw-r--r--[-rwxr-xr-x]hidl_manifests/manifest_tv.xml0
-rw-r--r--[-rwxr-xr-x]initscripts/audio.rc0
-rw-r--r--[-rwxr-xr-x]initscripts/bluetooth.rc24
-rw-r--r--[-rwxr-xr-x]initscripts/cppreopts_amlogic.rc0
-rw-r--r--[-rwxr-xr-x]initscripts/ddrtest.rc14
-rw-r--r--[-rwxr-xr-x]initscripts/dvb.rc0
-rw-r--r--[-rwxr-xr-x]initscripts/fs.rc0
-rw-r--r--[-rwxr-xr-x]initscripts/init.amlogic.media.rc0
-rw-r--r--[-rwxr-xr-x]initscripts/init.amlogic.tb_detect.rc0
-rw-r--r--[-rwxr-xr-x]initscripts/init.amlogic.usb.rc0
-rw-r--r--[-rwxr-xr-x]initscripts/irblaster1.rc0
-rw-r--r--[-rwxr-xr-x]initscripts/sysfs_permissions.rc438
-rw-r--r--[-rwxr-xr-x]media_modules.mk0
-rw-r--r--[-rwxr-xr-x]permissions/privapp-permissions-amlogic.xml0
-rw-r--r--[-rwxr-xr-x]product_property.mk0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/device.mk0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/CM2/FIELD_CM2.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/DI/DI_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/DI/FIELD_DI.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/NR/FIELD_NR.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/gxl/device.mk0
-rw-r--r--[-rwxr-xr-x]products/mbox/gxl/files/media_profiles.xml0
-rw-r--r--[-rwxr-xr-x]products/mbox/gxl/files/media_profiles_V1_0.xml0
-rw-r--r--products/mbox/sc2/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml304
-rw-r--r--products/mbox/sc2/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml266
-rw-r--r--[-rwxr-xr-x]products/mbox/sm1/device.mk0
-rw-r--r--[-rwxr-xr-x]products/mbox/sm1/vendor_prop.mk0
-rw-r--r--[-rwxr-xr-x]products/tablet/product_tablet.mk0
-rw-r--r--[-rwxr-xr-x]products/tv/init.amlogic.system.rc0
-rw-r--r--[-rwxr-xr-x]products/tv/product_tv.mk0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/device.mk0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/DroidTvSettings/AndroidManifest-common.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/MboxLauncher2/AndroidManifest-common.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_16_9.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_4_3.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CAPTION.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP_FULL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_FULL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_MOVIE.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NORMAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NOSCALEUP.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_PERSON.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_ZOOM.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_Secam.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_HDMI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/FIELD_BLACK_BLUE_NEW.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_SECAM.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDMI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CM2/CM2_ATV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CM2/CM2_AV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CM2/CM2_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDMI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CM2/FIELD_CM2.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_443.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_M.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_60.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_CN.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_I.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_M.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_SECAM.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_443.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_M.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_60.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_CN.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_I.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_M.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_SECAM.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/CVD2NEW/field_CVD2NEW_Setting.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DI/DI_ATV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DI/DI_AV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DI/DI_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DI/DI_HDMI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DI/DI_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DI/FIELD_DI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_ATV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_AV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDMI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/DNLP/FIELD_DNLP.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_ATV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_AV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_I.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_P.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_ATV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_AV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_HDMI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/LocalContrast/FIELD_LocalContrast.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_ATV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_AV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDMI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_ATV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_AV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/FIELD_NR.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_ATV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_AV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_I.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_P.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_I.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_P.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_SECAM.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_DTV.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_HDMI.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_4K.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/FIELD_SHARPNESS_NEW_1.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_NTSC.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_PAL.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_4K.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDR.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_4K.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_HD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_SD.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/hbg_ble/ble/b01_8.0/system/etc/remote.txt0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/hbg_ble/sei/init.hbg.remote.rc0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/media_profiles.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/media_profiles_V1_0.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/tv/tvconfig/dtvkit/config.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/tv/tvconfig/tv_default.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/files/tv/tvconfig/tv_rrt_define.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tl1/upgrade/aml_emmc_logic_table.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/device.mk0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/files/media_profiles.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/files/media_profiles_V1_0.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/files/sadConfig.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/files/sadConfig_sample.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/files/tv/tvconfig/dtvkit/config.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/files/tv/tvconfig/tv_default.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/files/tv/tvconfig/tv_rrt_define.xml0
-rw-r--r--[-rwxr-xr-x]products/tv/tm2/upgrade/aml_emmc_logic_table.xml0
-rw-r--r--[-rwxr-xr-x]soft_afbc/soft_afbc_modules.mk0
-rw-r--r--soong_config/Android.bp104
-rw-r--r--soong_config/soong_config.mk62
-rw-r--r--[-rwxr-xr-x]thermal_info_config.json0
260 files changed, 853 insertions, 676 deletions
diff --git a/Android.bp b/Android.bp
index 76fe1f6..fb7863f 100644
--- a/Android.bp
+++ b/Android.bp
@@ -3,3 +3,14 @@ soong_namespace {
"vendor/amlogic/common",
],
}
+
+/*
+soong_config_module_type {
+ name: "amlogic_cc_defaults",
+ module_type: "cc_defaults",
+ config_namespace: "amlogic",
+ variables: ["TARGET_BOARD_PLATFORM"],
+ bool_variables: ["TARGET_BUILD_LIVETV"],
+ properties: ["cflags", "srcs"],
+}
+*/ \ No newline at end of file
diff --git a/Android.mk b/Android.mk
index cddf9ef..cddf9ef 100755..100644
--- a/Android.mk
+++ b/Android.mk
diff --git a/amazon/prime.xml b/amazon/prime.xml
index 4871ad3..4871ad3 100755..100644
--- a/amazon/prime.xml
+++ b/amazon/prime.xml
diff --git a/audio/a2dp_audio_policy_configuration.xml b/audio/a2dp_audio_policy_configuration.xml
index 910426d..910426d 100755..100644
--- a/audio/a2dp_audio_policy_configuration.xml
+++ b/audio/a2dp_audio_policy_configuration.xml
diff --git a/audio/hearing_aid_audio_policy_configuration.xml b/audio/hearing_aid_audio_policy_configuration.xml
index 6d1dc68..989c6b7 100644
--- a/audio/hearing_aid_audio_policy_configuration.xml
+++ b/audio/hearing_aid_audio_policy_configuration.xml
@@ -1,17 +1,17 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- Hearing aid Audio HAL Audio Policy Configuration file -->
-<module name="hearing_aid" halVersion="2.0">
- <mixPorts>
- <mixPort name="hearing aid output" role="source" flags="AUDIO_OUTPUT_FLAG_PRIMARY">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="24000,16000"
- channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
- </mixPort>
- </mixPorts>
- <devicePorts>
- <devicePort tagName="BT Hearing Aid Out" type="AUDIO_DEVICE_OUT_HEARING_AID" role="sink"/>
- </devicePorts>
- <routes>
- <route type="mix" sink="BT Hearing Aid Out" sources="hearing aid output"/>
- </routes>
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Hearing aid Audio HAL Audio Policy Configuration file -->
+<module name="hearing_aid" halVersion="2.0">
+ <mixPorts>
+ <mixPort name="hearing aid output" role="source" flags="AUDIO_OUTPUT_FLAG_PRIMARY">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="24000,16000"
+ channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
+ </mixPort>
+ </mixPorts>
+ <devicePorts>
+ <devicePort tagName="BT Hearing Aid Out" type="AUDIO_DEVICE_OUT_HEARING_AID" role="sink"/>
+ </devicePorts>
+ <routes>
+ <route type="mix" sink="BT Hearing Aid Out" sources="hearing aid output"/>
+ </routes>
</module> \ No newline at end of file
diff --git a/audio/msd_audio_policy_configuration.xml b/audio/msd_audio_policy_configuration.xml
index 10cfff4..9cb34c8 100644
--- a/audio/msd_audio_policy_configuration.xml
+++ b/audio/msd_audio_policy_configuration.xml
@@ -1,62 +1,62 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- Copyright (C) 2017-2018 The Android Open Source Project
-
- Licensed under the Apache License, Version 2.0 (the "License");
- you may not use this file except in compliance with the License.
- You may obtain a copy of the License at
-
- http://www.apache.org/licenses/LICENSE-2.0
-
- Unless required by applicable law or agreed to in writing, software
- distributed under the License is distributed on an "AS IS" BASIS,
- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- See the License for the specific language governing permissions and
- limitations under the License.
--->
-<!-- Multi Stream Decoder Audio Policy Configuration file -->
-<module name="msd" halVersion="2.0">
- <attachedDevices>
- <item>MS12 Input</item>
- <item>MS12 Output</item>
- </attachedDevices>
- <mixPorts>
- <mixPort name="ms12 input" role="source">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="48000" channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
- </mixPort>
- <mixPort name="ms12 compressed input" role="source"
- flags="AUDIO_OUTPUT_FLAG_DIRECT|AUDIO_OUTPUT_FLAG_COMPRESS_OFFLOAD|AUDIO_OUTPUT_FLAG_NON_BLOCKING">
- <profile name="" format="AUDIO_FORMAT_AC3"
- samplingRates="32000,44100,48000"
- channelMasks="AUDIO_CHANNEL_OUT_MONO,AUDIO_CHANNEL_OUT_STEREO,AUDIO_CHANNEL_OUT_5POINT1"/>
- <profile name="" format="AUDIO_FORMAT_E_AC3"
- samplingRates="32000,44100,48000"
- channelMasks="AUDIO_CHANNEL_OUT_MONO,AUDIO_CHANNEL_OUT_STEREO,AUDIO_CHANNEL_OUT_5POINT1,AUDIO_CHANNEL_OUT_7POINT1"/>
- <profile name="" format="AUDIO_FORMAT_AC4"
- samplingRates="32000,44100,48000"
- channelMasks="AUDIO_CHANNEL_OUT_MONO,AUDIO_CHANNEL_OUT_STEREO,AUDIO_CHANNEL_OUT_5POINT1,AUDIO_CHANNEL_OUT_7POINT1"/>
- </mixPort>
- <mixPort name="ms12 output" role="sink">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_STEREO"/>
- <profile name="" format="AUDIO_FORMAT_AC3"
- samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_5POINT1"/>
- <profile name="" format="AUDIO_FORMAT_E_AC3"
- samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_5POINT1"/>
- </mixPort>
- </mixPorts>
- <devicePorts>
- <devicePort tagName="MS12 Input" type="AUDIO_DEVICE_OUT_BUS" role="sink">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="48000" channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
- </devicePort>
- <devicePort tagName="MS12 Output" type="AUDIO_DEVICE_IN_BUS" role="source">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_STEREO"/>
- </devicePort>
- </devicePorts>
- <routes>
- <route type="mix" sink="MS12 Input" sources="ms12 input,ms12 compressed input"/>
- <route type="mix" sink="ms12 output" sources="MS12 Output"/>
- </routes>
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Copyright (C) 2017-2018 The Android Open Source Project
+
+ Licensed under the Apache License, Version 2.0 (the "License");
+ you may not use this file except in compliance with the License.
+ You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ See the License for the specific language governing permissions and
+ limitations under the License.
+-->
+<!-- Multi Stream Decoder Audio Policy Configuration file -->
+<module name="msd" halVersion="2.0">
+ <attachedDevices>
+ <item>MS12 Input</item>
+ <item>MS12 Output</item>
+ </attachedDevices>
+ <mixPorts>
+ <mixPort name="ms12 input" role="source">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="48000" channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
+ </mixPort>
+ <mixPort name="ms12 compressed input" role="source"
+ flags="AUDIO_OUTPUT_FLAG_DIRECT|AUDIO_OUTPUT_FLAG_COMPRESS_OFFLOAD|AUDIO_OUTPUT_FLAG_NON_BLOCKING">
+ <profile name="" format="AUDIO_FORMAT_AC3"
+ samplingRates="32000,44100,48000"
+ channelMasks="AUDIO_CHANNEL_OUT_MONO,AUDIO_CHANNEL_OUT_STEREO,AUDIO_CHANNEL_OUT_5POINT1"/>
+ <profile name="" format="AUDIO_FORMAT_E_AC3"
+ samplingRates="32000,44100,48000"
+ channelMasks="AUDIO_CHANNEL_OUT_MONO,AUDIO_CHANNEL_OUT_STEREO,AUDIO_CHANNEL_OUT_5POINT1,AUDIO_CHANNEL_OUT_7POINT1"/>
+ <profile name="" format="AUDIO_FORMAT_AC4"
+ samplingRates="32000,44100,48000"
+ channelMasks="AUDIO_CHANNEL_OUT_MONO,AUDIO_CHANNEL_OUT_STEREO,AUDIO_CHANNEL_OUT_5POINT1,AUDIO_CHANNEL_OUT_7POINT1"/>
+ </mixPort>
+ <mixPort name="ms12 output" role="sink">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_STEREO"/>
+ <profile name="" format="AUDIO_FORMAT_AC3"
+ samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_5POINT1"/>
+ <profile name="" format="AUDIO_FORMAT_E_AC3"
+ samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_5POINT1"/>
+ </mixPort>
+ </mixPorts>
+ <devicePorts>
+ <devicePort tagName="MS12 Input" type="AUDIO_DEVICE_OUT_BUS" role="sink">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="48000" channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
+ </devicePort>
+ <devicePort tagName="MS12 Output" type="AUDIO_DEVICE_IN_BUS" role="source">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_STEREO"/>
+ </devicePort>
+ </devicePorts>
+ <routes>
+ <route type="mix" sink="MS12 Input" sources="ms12 input,ms12 compressed input"/>
+ <route type="mix" sink="ms12 output" sources="MS12 Output"/>
+ </routes>
</module> \ No newline at end of file
diff --git a/audio/primary_audio_policy_configuration.xml b/audio/primary_audio_policy_configuration.xml
index f51b9f6..ba0a1c5 100644
--- a/audio/primary_audio_policy_configuration.xml
+++ b/audio/primary_audio_policy_configuration.xml
@@ -1,32 +1,32 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- Default Primary Audio HAL Module Audio Policy Configuration include flie -->
-<module name="primary" halVersion="2.0">
- <attachedDevices>
- <item>Speaker</item>
- <item>Built-In Mic</item>
- </attachedDevices>
- <defaultOutputDevice>Speaker</defaultOutputDevice>
- <mixPorts>
- <mixPort name="primary output" role="source" flags="AUDIO_OUTPUT_FLAG_PRIMARY">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="44100" channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
- </mixPort>
- <mixPort name="primary input" role="sink">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="8000,16000" channelMasks="AUDIO_CHANNEL_IN_MONO"/>
- </mixPort>
- </mixPorts>
- <devicePorts>
- <devicePort tagName="Speaker" type="AUDIO_DEVICE_OUT_SPEAKER" role="sink">
- </devicePort>
-
- <devicePort tagName="Built-In Mic" type="AUDIO_DEVICE_IN_BUILTIN_MIC" role="source">
- </devicePort>
- </devicePorts>
- <routes>
- <route type="mix" sink="Speaker"
- sources="primary output"/>
- <route type="mix" sink="primary input"
- sources="Built-In Mic"/>
- </routes>
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Default Primary Audio HAL Module Audio Policy Configuration include flie -->
+<module name="primary" halVersion="2.0">
+ <attachedDevices>
+ <item>Speaker</item>
+ <item>Built-In Mic</item>
+ </attachedDevices>
+ <defaultOutputDevice>Speaker</defaultOutputDevice>
+ <mixPorts>
+ <mixPort name="primary output" role="source" flags="AUDIO_OUTPUT_FLAG_PRIMARY">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="44100" channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
+ </mixPort>
+ <mixPort name="primary input" role="sink">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="8000,16000" channelMasks="AUDIO_CHANNEL_IN_MONO"/>
+ </mixPort>
+ </mixPorts>
+ <devicePorts>
+ <devicePort tagName="Speaker" type="AUDIO_DEVICE_OUT_SPEAKER" role="sink">
+ </devicePort>
+
+ <devicePort tagName="Built-In Mic" type="AUDIO_DEVICE_IN_BUILTIN_MIC" role="source">
+ </devicePort>
+ </devicePorts>
+ <routes>
+ <route type="mix" sink="Speaker"
+ sources="primary output"/>
+ <route type="mix" sink="primary input"
+ sources="Built-In Mic"/>
+ </routes>
</module> \ No newline at end of file
diff --git a/audio/stub_audio_policy_configuration.xml b/audio/stub_audio_policy_configuration.xml
index 381dd55..6646ea0 100644
--- a/audio/stub_audio_policy_configuration.xml
+++ b/audio/stub_audio_policy_configuration.xml
@@ -1,46 +1,46 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!-- Copyright (C) 2017 The Android Open Source Project
-
- Licensed under the Apache License, Version 2.0 (the "License");
- you may not use this file except in compliance with the License.
- You may obtain a copy of the License at
-
- http://www.apache.org/licenses/LICENSE-2.0
-
- Unless required by applicable law or agreed to in writing, software
- distributed under the License is distributed on an "AS IS" BASIS,
- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- See the License for the specific language governing permissions and
- limitations under the License.
--->
-
-<module name="stub" halVersion="2.0">
- <attachedDevices>
- <item>Default Out</item>
- <item>Default In</item>
- </attachedDevices>
- <defaultOutputDevice>Default Out</defaultOutputDevice>
- <mixPorts>
- <mixPort name="stub output" role="source" flags="AUDIO_OUTPUT_FLAG_PRIMARY">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="48000" channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
- </mixPort>
-
- <mixPort name="stub input" role="sink">
- <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
- samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_STEREO"/>
- </mixPort>
- </mixPorts>
- <devicePorts>
- <devicePort tagName="Default Out" type="AUDIO_DEVICE_OUT_STUB" role="sink">
- </devicePort>
-
- <devicePort tagName="Default In" type="AUDIO_DEVICE_IN_STUB" role="source">
- </devicePort>
- </devicePorts>
- <routes>
- <route type="mix" sink="Default Out" sources="stub output"/>
-
- <route type="mix" sink="stub input" sources="Default In"/>
- </routes>
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Copyright (C) 2017 The Android Open Source Project
+
+ Licensed under the Apache License, Version 2.0 (the "License");
+ you may not use this file except in compliance with the License.
+ You may obtain a copy of the License at
+
+ http://www.apache.org/licenses/LICENSE-2.0
+
+ Unless required by applicable law or agreed to in writing, software
+ distributed under the License is distributed on an "AS IS" BASIS,
+ WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ See the License for the specific language governing permissions and
+ limitations under the License.
+-->
+
+<module name="stub" halVersion="2.0">
+ <attachedDevices>
+ <item>Default Out</item>
+ <item>Default In</item>
+ </attachedDevices>
+ <defaultOutputDevice>Default Out</defaultOutputDevice>
+ <mixPorts>
+ <mixPort name="stub output" role="source" flags="AUDIO_OUTPUT_FLAG_PRIMARY">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="48000" channelMasks="AUDIO_CHANNEL_OUT_STEREO"/>
+ </mixPort>
+
+ <mixPort name="stub input" role="sink">
+ <profile name="" format="AUDIO_FORMAT_PCM_16_BIT"
+ samplingRates="48000" channelMasks="AUDIO_CHANNEL_IN_STEREO"/>
+ </mixPort>
+ </mixPorts>
+ <devicePorts>
+ <devicePort tagName="Default Out" type="AUDIO_DEVICE_OUT_STUB" role="sink">
+ </devicePort>
+
+ <devicePort tagName="Default In" type="AUDIO_DEVICE_IN_STUB" role="source">
+ </devicePort>
+ </devicePorts>
+ <routes>
+ <route type="mix" sink="Default Out" sources="stub output"/>
+
+ <route type="mix" sink="stub input" sources="Default In"/>
+ </routes>
</module> \ No newline at end of file
diff --git a/common/products/mbox/upgrade_4.9/aml_emmc_logic_table.xml b/common/products/mbox/upgrade_4.9/aml_emmc_logic_table.xml
index 27edc0d..27edc0d 100755..100644
--- a/common/products/mbox/upgrade_4.9/aml_emmc_logic_table.xml
+++ b/common/products/mbox/upgrade_4.9/aml_emmc_logic_table.xml
diff --git a/config/lowmemorykiller.txt b/config/lowmemorykiller.txt
index 9639236..9639236 100755..100644
--- a/config/lowmemorykiller.txt
+++ b/config/lowmemorykiller.txt
diff --git a/config/lowmemorykiller_2G.txt b/config/lowmemorykiller_2G.txt
index c12a0a0..c12a0a0 100755..100644
--- a/config/lowmemorykiller_2G.txt
+++ b/config/lowmemorykiller_2G.txt
diff --git a/config/lowmemorykiller_512M.txt b/config/lowmemorykiller_512M.txt
index 60da92a..60da92a 100755..100644
--- a/config/lowmemorykiller_512M.txt
+++ b/config/lowmemorykiller_512M.txt
diff --git a/core_amlogic.mk b/core_amlogic.mk
index ff220a5..ff220a5 100755..100644
--- a/core_amlogic.mk
+++ b/core_amlogic.mk
diff --git a/galilei/upgrade/aml_emmc_logic_table.xml b/galilei/upgrade/aml_emmc_logic_table.xml
index ef844ba..ef844ba 100755..100644
--- a/galilei/upgrade/aml_emmc_logic_table.xml
+++ b/galilei/upgrade/aml_emmc_logic_table.xml
diff --git a/gpu/dvalin-kernel.mk b/gpu/dvalin-kernel.mk
index 24f44a3..24f44a3 100755..100644
--- a/gpu/dvalin-kernel.mk
+++ b/gpu/dvalin-kernel.mk
diff --git a/gpu/dvalin-user-arm64.mk b/gpu/dvalin-user-arm64.mk
index a550474..a550474 100755..100644
--- a/gpu/dvalin-user-arm64.mk
+++ b/gpu/dvalin-user-arm64.mk
diff --git a/gpu/gondul-kernel.mk b/gpu/gondul-kernel.mk
index bb51451..bb51451 100755..100644
--- a/gpu/gondul-kernel.mk
+++ b/gpu/gondul-kernel.mk
diff --git a/gpu/gondul-user-arm64.mk b/gpu/gondul-user-arm64.mk
index 0ba0d69..0ba0d69 100755..100644
--- a/gpu/gondul-user-arm64.mk
+++ b/gpu/gondul-user-arm64.mk
diff --git a/gpu/mali450-kernel.mk b/gpu/mali450-kernel.mk
index 0a8e657..0a8e657 100755..100644
--- a/gpu/mali450-kernel.mk
+++ b/gpu/mali450-kernel.mk
diff --git a/gpu/mali450-user-arm64.mk b/gpu/mali450-user-arm64.mk
index fdc3abc..fdc3abc 100755..100644
--- a/gpu/mali450-user-arm64.mk
+++ b/gpu/mali450-user-arm64.mk
diff --git a/gpu/t82x-kernel.mk b/gpu/t82x-kernel.mk
index e68d63e..e68d63e 100755..100644
--- a/gpu/t82x-kernel.mk
+++ b/gpu/t82x-kernel.mk
diff --git a/gpu/t82x-user-arm64.mk b/gpu/t82x-user-arm64.mk
index 659740c..659740c 100755..100644
--- a/gpu/t82x-user-arm64.mk
+++ b/gpu/t82x-user-arm64.mk
diff --git a/gpu/t83x-kernel.mk b/gpu/t83x-kernel.mk
index c8b35f0..c8b35f0 100755..100644
--- a/gpu/t83x-kernel.mk
+++ b/gpu/t83x-kernel.mk
diff --git a/gpu/t83x-user-arm64.mk b/gpu/t83x-user-arm64.mk
index 87f943e..87f943e 100755..100644
--- a/gpu/t83x-user-arm64.mk
+++ b/gpu/t83x-user-arm64.mk
diff --git a/hidl_manifests/manifest_boot.xml b/hidl_manifests/manifest_boot.xml
index f774c35..f774c35 100755..100644
--- a/hidl_manifests/manifest_boot.xml
+++ b/hidl_manifests/manifest_boot.xml
diff --git a/hidl_manifests/manifest_cas.xml b/hidl_manifests/manifest_cas.xml
index 68155c8..68155c8 100755..100644
--- a/hidl_manifests/manifest_cas.xml
+++ b/hidl_manifests/manifest_cas.xml
diff --git a/hidl_manifests/manifest_common.xml b/hidl_manifests/manifest_common.xml
index db31041..db31041 100755..100644
--- a/hidl_manifests/manifest_common.xml
+++ b/hidl_manifests/manifest_common.xml
diff --git a/hidl_manifests/manifest_ir.xml b/hidl_manifests/manifest_ir.xml
index 5ce7913..5ce7913 100755..100644
--- a/hidl_manifests/manifest_ir.xml
+++ b/hidl_manifests/manifest_ir.xml
diff --git a/hidl_manifests/manifest_kernel5.xml b/hidl_manifests/manifest_kernel5.xml
index 804f41e..804f41e 100755..100644
--- a/hidl_manifests/manifest_kernel5.xml
+++ b/hidl_manifests/manifest_kernel5.xml
diff --git a/hidl_manifests/manifest_tv.xml b/hidl_manifests/manifest_tv.xml
index 3910b89..3910b89 100755..100644
--- a/hidl_manifests/manifest_tv.xml
+++ b/hidl_manifests/manifest_tv.xml
diff --git a/initscripts/audio.rc b/initscripts/audio.rc
index 8c458e0..8c458e0 100755..100644
--- a/initscripts/audio.rc
+++ b/initscripts/audio.rc
diff --git a/initscripts/bluetooth.rc b/initscripts/bluetooth.rc
index c007fde..c65dc53 100755..100644
--- a/initscripts/bluetooth.rc
+++ b/initscripts/bluetooth.rc
@@ -1,12 +1,12 @@
-on property:vendor.sys.mtkbtdriver=true
- insmod /vendor/lib/modules/btmtksdio.ko
-
-on boot
- chown bluetooth bluetooth /proc/bluetooth/sleep/btwrite
- chown bluetooth bluetooth /proc/bluetooth/sleep/lpm
- chmod 0660 /proc/bluetooth/sleep/btwrite
- chmod 0660 /proc/bluetooth/sleep/lpm
-
- insmod /vendor/lib/modules/btusb.ko
-
-
+on property:vendor.sys.mtkbtdriver=true
+ insmod /vendor/lib/modules/btmtksdio.ko
+
+on boot
+ chown bluetooth bluetooth /proc/bluetooth/sleep/btwrite
+ chown bluetooth bluetooth /proc/bluetooth/sleep/lpm
+ chmod 0660 /proc/bluetooth/sleep/btwrite
+ chmod 0660 /proc/bluetooth/sleep/lpm
+
+ insmod /vendor/lib/modules/btusb.ko
+
+
diff --git a/initscripts/cppreopts_amlogic.rc b/initscripts/cppreopts_amlogic.rc
index d5a94f2..d5a94f2 100755..100644
--- a/initscripts/cppreopts_amlogic.rc
+++ b/initscripts/cppreopts_amlogic.rc
diff --git a/initscripts/ddrtest.rc b/initscripts/ddrtest.rc
index e3117e8..e788fe6 100755..100644
--- a/initscripts/ddrtest.rc
+++ b/initscripts/ddrtest.rc
@@ -1,8 +1,8 @@
-on boot
- insmod /vendor/lib/modules/ddr_window_64.ko
-
-service ddrtest /vendor/bin/ddrtest.sh
- class main
- user root
- group root
+on boot
+ insmod /vendor/lib/modules/ddr_window_64.ko
+
+service ddrtest /vendor/bin/ddrtest.sh
+ class main
+ user root
+ group root
oneshot \ No newline at end of file
diff --git a/initscripts/dvb.rc b/initscripts/dvb.rc
index 76cd5b0..76cd5b0 100755..100644
--- a/initscripts/dvb.rc
+++ b/initscripts/dvb.rc
diff --git a/initscripts/fs.rc b/initscripts/fs.rc
index 58effe0..58effe0 100755..100644
--- a/initscripts/fs.rc
+++ b/initscripts/fs.rc
diff --git a/initscripts/init.amlogic.media.rc b/initscripts/init.amlogic.media.rc
index cae9e76..cae9e76 100755..100644
--- a/initscripts/init.amlogic.media.rc
+++ b/initscripts/init.amlogic.media.rc
diff --git a/initscripts/init.amlogic.tb_detect.rc b/initscripts/init.amlogic.tb_detect.rc
index bb37a34..bb37a34 100755..100644
--- a/initscripts/init.amlogic.tb_detect.rc
+++ b/initscripts/init.amlogic.tb_detect.rc
diff --git a/initscripts/init.amlogic.usb.rc b/initscripts/init.amlogic.usb.rc
index 7db1312..7db1312 100755..100644
--- a/initscripts/init.amlogic.usb.rc
+++ b/initscripts/init.amlogic.usb.rc
diff --git a/initscripts/irblaster1.rc b/initscripts/irblaster1.rc
index c203611..c203611 100755..100644
--- a/initscripts/irblaster1.rc
+++ b/initscripts/irblaster1.rc
diff --git a/initscripts/sysfs_permissions.rc b/initscripts/sysfs_permissions.rc
index 9f99e65..f2ab6db 100755..100644
--- a/initscripts/sysfs_permissions.rc
+++ b/initscripts/sysfs_permissions.rc
@@ -1,219 +1,219 @@
-on init
- #multimedia sys subsystem
- chmod 0664 /sys/module/amvdec_*/parameters/double_write_mode
- chown mediacodec system /sys/module/amvdec_*/parameters/double_write_mode
-
- chmod 0664 /sys/module/amvdec_*/parameters/buffer_mode
- chown mediacodec media /sys/module/amvdec_*/parameters/buffer_mode
-
- chmod 0664 /sys/module/amvdec_*/parameters/buf_alloc_width
- chown mediacodec media /sys/module/amvdec_*/parameters/buf_alloc_width
-
- chmod 0664 /sys/module/amvdec_*/parameters/buf_alloc_height
- chown mediacodec media /sys/module/amvdec_*/parameters/buf_alloc_height
-
- chmod 0664 /sys/module/amvdec_h265/parameters/dynamic_buf_num_margin
- chown mediacodec media /sys/module/amvdec_h265/parameters/dynamic_buf_num_margin
-
- chmod 0664 /sys/module/amvdec_h264/parameters/dec_control
- chown media system /sys/module/amvdec_h264/parameters/dec_control
-
- chmod 0664 /sys/module/amvdec_h264/parameters/error_recovery_mode
- chown media system /sys/module/amvdec_h264/parameters/error_recovery_mode
-
- #video
- chmod 0666 /sys/class/video/blackout_policy
- chown media system /sys/class/video/blackout_policy
-
- chmod 0666 /sys/class/video/screen_mode
- chown media system /sys/class/video/screen_mode
-
- chmod 0666 /sys/class/video/axis
- chown media system /sys/class/video/axis
-
- chmod 0666 /sys/class/video/disable_video
- chown media system /sys/class/video/disable_video
-
- chmod 0666 /sys/class/video/zoom
- chown media system /sys/class/video/zoom
-
- chmod 0666 /sys/class/video/free_keep_buffer
- chown media system /sys/class/video/free_keep_buffer
-
- chmod 0666 /sys/class/video/free_cma_buffer
- chown media system /sys/class/video/free_cma_buffer
-
- chmod 0666 /sys/class/video/crop
- chown media system /sys/class/video/crop
-
- #tsync
- chmod 0664 /sys/class/tsync/pcr_recover
- chown media system /sys/class/tsync/pcr_recover
-
- chmod 0664 /sys/class/tsync/pts_video
- chown media system /sys/class/tsync/pts_video
-
- chmod 0664 /sys/class/tsync/pts_audio
- chown mediadrm audio /sys/class/tsync/pts_audio
-
- chmod 0666 /sys/class/tsync/pts_pcrscr
- chown mediadrm audio /sys/class/tsync/pts_pcrscr
-
- chmod 0666 /sys/class/tsync/event
- chown mediadrm audio /sys/class/tsync/event
-
- chmod 0664 /sys/class/tsync/firstapts
- chown mediadrm audio /sys/class/tsync/firstapts
-
- chmod 0664 /sys/class/tsync/mode
- chown media system /sys/class/tsync/mode
-
- chmod 0666 /sys/class/tsync/enable
- chown media system /sys/class/tsync/enable
-
- chmod 0664 /sys/class/tsync/av_threshold_min
- chown media system /sys/class/tsync/av_threshold_min
-
- chmod 0664 /sys/class/tsync/av_threshold_min
- chown media system /sys/class/tsync/av_threshold_min
-
- chmod 0666 /sys/class/tsync/apts_lookup
- chown media system /sys/class/tsync/apts_lookup
-
- chmod 0666 /sys/class/tsync/pts_latency
- chown media system /sys/class/tsync/pts_latency
-
- chmod 666 /sys/class/tsync/apts_lookup
-
- #amaudio
- chmod 0664 /sys/class/amaudio/audio_channels_mask
- chown media system /sys/class/amaudio/audio_channels_mask
-
- chmod 0664 /sys/class/amaudio/enable_resample
- chown media system /sys/class/amaudio/enable_resample
-
- chmod 0664 /sys/class/amaudio/resample_type
- chown media system /sys/class/amaudio/resample_type
-
- chmod 0664 /sys/class/amaudio/debug
- chown system mediadrm /sys/class/amaudio/debug
-
- #audio dsp
- chmod 0664 /sys/class/audiodsp/codec_fatal_err
- chown media system /sys/class/audiodsp/codec_fatal_err
-
- chmod 0664 /sys/class/audiodsp/digital_codec
- chown media system /sys/class/audiodsp/digital_codec
-
- chmod 0664 /sys/class/audiodsp/digital_raw
- chown system system /sys/class/audiodsp/digital_raw
-
- chmod 0664 /sys/class/audiodsp/ac3_drc_control
- chown system system /sys/class/audiodsp/ac3_drc_control
-
- chmod 0664 /sys/class/audiodsp/dts_dec_control
- chown media system /sys/class/audiodsp/dts_dec_control
-
- #di
- chmod 0664 /sys/module/di/parameters/det3d_en
- chown root system /sys/module/di/parameters/det3d_en
-
- chmod 0664 /sys/module/di/parameters/prog_proc_config
- chown root system /sys/module/di/parameters/prog_proc_config
-
- chmod 0664 /sys/module/di/parameters/bypass_hd
- chown media system /sys/module/di/parameters/bypass_hd
-
- chmod 0664 /sys/module/di/parameters/bypass_all
- chown media system /sys/module/di/parameters/bypass_all
-
- #dolby version
- chmod 0666 /sys/module/amdolby_vision/parameters/dolby_vision_profile
- chown media system /sys/module/amdolby_vision/parameters/dolby_vision_profile
-
- chmod 0666 /sys/module/amdolby_vision/parameters/dolby_vision_level
- chown media system /sys/module/amdolby_vision/parameters/dolby_vision_level
-
- chmod 0664 /sys/class/display/mode
- chown system system /sys/class/display/mode
-
- chmod 0664 /sys/class/display/axis
- chown system graphics /sys/class/display/axis
-
- chmod 0664 /sys/module/ionvideo/parameters/freerun_mode
- chown media system /sys/module/ionvideo/parameters/freerun_mode
-
- #graphic
- chmod 0664 /sys/class/graphics/fb0/osd_afbcd
- chown system system /sys/class/graphics/fb0/osd_afbcd
-
- #ppmgr
- chmod 0664 /sys/class/ppmgr/angle
- chown media system /sys/class/ppmgr/angle
-
- chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_status
- chown system system /sys/module/amdolby_vision/parameters/dolby_vision_status
- chmod 0664 /sys/module/aml_media/parameters/dolby_vision_status
- chown system system /sys/module/aml_media/parameters/dolby_vision_status
-
- chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_policy
- chown system system /sys/module/amdolby_vision/parameters/dolby_vision_policy
- chmod 0664 /sys/module/aml_media/parameters/dolby_vision_policy
- chown system system /sys/module/aml_media/parameters/dolby_vision_policy
-
- chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_hdr10_policy
- chown system system /sys/module/amdolby_vision/parameters/dolby_vision_hdr10_policy
- chmod 0664 /sys/module/aml_media/parameters/dolby_vision_hdr10_policy
- chown system system /sys/module/aml_media/parameters/dolby_vision_hdr10_policy
-
- chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_enable
- chown system system /sys/module/amdolby_vision/parameters/dolby_vision_enable
- chmod 0664 /sys/module/aml_media/parameters/dolby_vision_enable
- chown system system /sys/module/aml_media/parameters/dolby_vision_enable
-
- chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_graphics_priority
- chown system system /sys/module/amdolby_vision/parameters/dolby_vision_graphics_priority
- chmod 0664 /sys/module/aml_media/parameters/dolby_vision_graphics_priority
- chown system system /sys/module/aml_media/parameters/dolby_vision_graphics_priority
-
- chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_ll_policy
- chown system system /sys/module/amdolby_vision/parameters/dolby_vision_ll_policy
- chmod 0664 /sys/module/aml_media/parameters/dolby_vision_ll_policy
- chown system system /sys/module/aml_media/parameters/dolby_vision_ll_policy
-
- chmod 0664 /sys/class/amdolby_vision/dv_mode
- chown system system /sys/class/amdolby_vision/dv_mode
-
- chmod 0664 /sys/module/am_vecm/parameters/hdr_policy
- chown system system /sys/module/am_vecm/parameters/hdr_policy
- chmod 0664 /sys/module/aml_media/parameters/hdr_policy
- chown system system /sys/module/aml_media/parameters/hdr_policy
-
- chmod 0664 /sys/module/am_vecm/parameters/hdr_mode
- chown system system /sys/module/am_vecm/parameters/hdr_mode
- chmod 0664 /sys/module/aml_media/parameters/hdr_mode
- chown system system /sys/module/aml_media/parameters/hdr_mode
-
- chmod 0664 /sys/module/am_vecm/parameters/sdr_mode
- chown system system /sys/module/am_vecm/parameters/sdr_mode
- chmod 0664 /sys/module/aml_media/parameters/sdr_mode
- chown system system /sys/module/aml_media/parameters/sdr_mode
-
- chmod 0664 /sys/class/amhdmitx/amhdmitx0/attr
- chown system system /sys/class/amhdmitx/amhdmitx0/attr
-
- chmod 0664 /sys/class/amhdmitx/amhdmitx0/dv_cap
- chown system system /sys/class/amhdmitx/amhdmitx0/dv_cap
-
- chmod 0664 /sys/class/amhdmitx/amhdmitx0/hdr_cap
- chown system system /sys/class/amhdmitx/amhdmitx0/hdr_cap
-
- chmod 0664 /sys/devices/virtual/amhdmitx/amhdmitx0/avmute
- chown system system /sys/devices/virtual/amhdmitx/amhdmitx0/avmute
-
-on boot
- chmod 0664 /sys/class/mpgpu/scale_mode
- chown media system /sys/class/mpgpu/scale_mode
-
- chmod 0664 /sys/class/mpgpu/mpgpucmd
- chown system system /sys/class/mpgpu/mpgpucmd
+on init
+ #multimedia sys subsystem
+ chmod 0664 /sys/module/amvdec_*/parameters/double_write_mode
+ chown mediacodec system /sys/module/amvdec_*/parameters/double_write_mode
+
+ chmod 0664 /sys/module/amvdec_*/parameters/buffer_mode
+ chown mediacodec media /sys/module/amvdec_*/parameters/buffer_mode
+
+ chmod 0664 /sys/module/amvdec_*/parameters/buf_alloc_width
+ chown mediacodec media /sys/module/amvdec_*/parameters/buf_alloc_width
+
+ chmod 0664 /sys/module/amvdec_*/parameters/buf_alloc_height
+ chown mediacodec media /sys/module/amvdec_*/parameters/buf_alloc_height
+
+ chmod 0664 /sys/module/amvdec_h265/parameters/dynamic_buf_num_margin
+ chown mediacodec media /sys/module/amvdec_h265/parameters/dynamic_buf_num_margin
+
+ chmod 0664 /sys/module/amvdec_h264/parameters/dec_control
+ chown media system /sys/module/amvdec_h264/parameters/dec_control
+
+ chmod 0664 /sys/module/amvdec_h264/parameters/error_recovery_mode
+ chown media system /sys/module/amvdec_h264/parameters/error_recovery_mode
+
+ #video
+ chmod 0666 /sys/class/video/blackout_policy
+ chown media system /sys/class/video/blackout_policy
+
+ chmod 0666 /sys/class/video/screen_mode
+ chown media system /sys/class/video/screen_mode
+
+ chmod 0666 /sys/class/video/axis
+ chown media system /sys/class/video/axis
+
+ chmod 0666 /sys/class/video/disable_video
+ chown media system /sys/class/video/disable_video
+
+ chmod 0666 /sys/class/video/zoom
+ chown media system /sys/class/video/zoom
+
+ chmod 0666 /sys/class/video/free_keep_buffer
+ chown media system /sys/class/video/free_keep_buffer
+
+ chmod 0666 /sys/class/video/free_cma_buffer
+ chown media system /sys/class/video/free_cma_buffer
+
+ chmod 0666 /sys/class/video/crop
+ chown media system /sys/class/video/crop
+
+ #tsync
+ chmod 0664 /sys/class/tsync/pcr_recover
+ chown media system /sys/class/tsync/pcr_recover
+
+ chmod 0664 /sys/class/tsync/pts_video
+ chown media system /sys/class/tsync/pts_video
+
+ chmod 0664 /sys/class/tsync/pts_audio
+ chown mediadrm audio /sys/class/tsync/pts_audio
+
+ chmod 0666 /sys/class/tsync/pts_pcrscr
+ chown mediadrm audio /sys/class/tsync/pts_pcrscr
+
+ chmod 0666 /sys/class/tsync/event
+ chown mediadrm audio /sys/class/tsync/event
+
+ chmod 0664 /sys/class/tsync/firstapts
+ chown mediadrm audio /sys/class/tsync/firstapts
+
+ chmod 0664 /sys/class/tsync/mode
+ chown media system /sys/class/tsync/mode
+
+ chmod 0666 /sys/class/tsync/enable
+ chown media system /sys/class/tsync/enable
+
+ chmod 0664 /sys/class/tsync/av_threshold_min
+ chown media system /sys/class/tsync/av_threshold_min
+
+ chmod 0664 /sys/class/tsync/av_threshold_min
+ chown media system /sys/class/tsync/av_threshold_min
+
+ chmod 0666 /sys/class/tsync/apts_lookup
+ chown media system /sys/class/tsync/apts_lookup
+
+ chmod 0666 /sys/class/tsync/pts_latency
+ chown media system /sys/class/tsync/pts_latency
+
+ chmod 666 /sys/class/tsync/apts_lookup
+
+ #amaudio
+ chmod 0664 /sys/class/amaudio/audio_channels_mask
+ chown media system /sys/class/amaudio/audio_channels_mask
+
+ chmod 0664 /sys/class/amaudio/enable_resample
+ chown media system /sys/class/amaudio/enable_resample
+
+ chmod 0664 /sys/class/amaudio/resample_type
+ chown media system /sys/class/amaudio/resample_type
+
+ chmod 0664 /sys/class/amaudio/debug
+ chown system mediadrm /sys/class/amaudio/debug
+
+ #audio dsp
+ chmod 0664 /sys/class/audiodsp/codec_fatal_err
+ chown media system /sys/class/audiodsp/codec_fatal_err
+
+ chmod 0664 /sys/class/audiodsp/digital_codec
+ chown media system /sys/class/audiodsp/digital_codec
+
+ chmod 0664 /sys/class/audiodsp/digital_raw
+ chown system system /sys/class/audiodsp/digital_raw
+
+ chmod 0664 /sys/class/audiodsp/ac3_drc_control
+ chown system system /sys/class/audiodsp/ac3_drc_control
+
+ chmod 0664 /sys/class/audiodsp/dts_dec_control
+ chown media system /sys/class/audiodsp/dts_dec_control
+
+ #di
+ chmod 0664 /sys/module/di/parameters/det3d_en
+ chown root system /sys/module/di/parameters/det3d_en
+
+ chmod 0664 /sys/module/di/parameters/prog_proc_config
+ chown root system /sys/module/di/parameters/prog_proc_config
+
+ chmod 0664 /sys/module/di/parameters/bypass_hd
+ chown media system /sys/module/di/parameters/bypass_hd
+
+ chmod 0664 /sys/module/di/parameters/bypass_all
+ chown media system /sys/module/di/parameters/bypass_all
+
+ #dolby version
+ chmod 0666 /sys/module/amdolby_vision/parameters/dolby_vision_profile
+ chown media system /sys/module/amdolby_vision/parameters/dolby_vision_profile
+
+ chmod 0666 /sys/module/amdolby_vision/parameters/dolby_vision_level
+ chown media system /sys/module/amdolby_vision/parameters/dolby_vision_level
+
+ chmod 0664 /sys/class/display/mode
+ chown system system /sys/class/display/mode
+
+ chmod 0664 /sys/class/display/axis
+ chown system graphics /sys/class/display/axis
+
+ chmod 0664 /sys/module/ionvideo/parameters/freerun_mode
+ chown media system /sys/module/ionvideo/parameters/freerun_mode
+
+ #graphic
+ chmod 0664 /sys/class/graphics/fb0/osd_afbcd
+ chown system system /sys/class/graphics/fb0/osd_afbcd
+
+ #ppmgr
+ chmod 0664 /sys/class/ppmgr/angle
+ chown media system /sys/class/ppmgr/angle
+
+ chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_status
+ chown system system /sys/module/amdolby_vision/parameters/dolby_vision_status
+ chmod 0664 /sys/module/aml_media/parameters/dolby_vision_status
+ chown system system /sys/module/aml_media/parameters/dolby_vision_status
+
+ chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_policy
+ chown system system /sys/module/amdolby_vision/parameters/dolby_vision_policy
+ chmod 0664 /sys/module/aml_media/parameters/dolby_vision_policy
+ chown system system /sys/module/aml_media/parameters/dolby_vision_policy
+
+ chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_hdr10_policy
+ chown system system /sys/module/amdolby_vision/parameters/dolby_vision_hdr10_policy
+ chmod 0664 /sys/module/aml_media/parameters/dolby_vision_hdr10_policy
+ chown system system /sys/module/aml_media/parameters/dolby_vision_hdr10_policy
+
+ chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_enable
+ chown system system /sys/module/amdolby_vision/parameters/dolby_vision_enable
+ chmod 0664 /sys/module/aml_media/parameters/dolby_vision_enable
+ chown system system /sys/module/aml_media/parameters/dolby_vision_enable
+
+ chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_graphics_priority
+ chown system system /sys/module/amdolby_vision/parameters/dolby_vision_graphics_priority
+ chmod 0664 /sys/module/aml_media/parameters/dolby_vision_graphics_priority
+ chown system system /sys/module/aml_media/parameters/dolby_vision_graphics_priority
+
+ chmod 0664 /sys/module/amdolby_vision/parameters/dolby_vision_ll_policy
+ chown system system /sys/module/amdolby_vision/parameters/dolby_vision_ll_policy
+ chmod 0664 /sys/module/aml_media/parameters/dolby_vision_ll_policy
+ chown system system /sys/module/aml_media/parameters/dolby_vision_ll_policy
+
+ chmod 0664 /sys/class/amdolby_vision/dv_mode
+ chown system system /sys/class/amdolby_vision/dv_mode
+
+ chmod 0664 /sys/module/am_vecm/parameters/hdr_policy
+ chown system system /sys/module/am_vecm/parameters/hdr_policy
+ chmod 0664 /sys/module/aml_media/parameters/hdr_policy
+ chown system system /sys/module/aml_media/parameters/hdr_policy
+
+ chmod 0664 /sys/module/am_vecm/parameters/hdr_mode
+ chown system system /sys/module/am_vecm/parameters/hdr_mode
+ chmod 0664 /sys/module/aml_media/parameters/hdr_mode
+ chown system system /sys/module/aml_media/parameters/hdr_mode
+
+ chmod 0664 /sys/module/am_vecm/parameters/sdr_mode
+ chown system system /sys/module/am_vecm/parameters/sdr_mode
+ chmod 0664 /sys/module/aml_media/parameters/sdr_mode
+ chown system system /sys/module/aml_media/parameters/sdr_mode
+
+ chmod 0664 /sys/class/amhdmitx/amhdmitx0/attr
+ chown system system /sys/class/amhdmitx/amhdmitx0/attr
+
+ chmod 0664 /sys/class/amhdmitx/amhdmitx0/dv_cap
+ chown system system /sys/class/amhdmitx/amhdmitx0/dv_cap
+
+ chmod 0664 /sys/class/amhdmitx/amhdmitx0/hdr_cap
+ chown system system /sys/class/amhdmitx/amhdmitx0/hdr_cap
+
+ chmod 0664 /sys/devices/virtual/amhdmitx/amhdmitx0/avmute
+ chown system system /sys/devices/virtual/amhdmitx/amhdmitx0/avmute
+
+on boot
+ chmod 0664 /sys/class/mpgpu/scale_mode
+ chown media system /sys/class/mpgpu/scale_mode
+
+ chmod 0664 /sys/class/mpgpu/mpgpucmd
+ chown system system /sys/class/mpgpu/mpgpucmd
diff --git a/media_modules.mk b/media_modules.mk
index 976d7de..976d7de 100755..100644
--- a/media_modules.mk
+++ b/media_modules.mk
diff --git a/permissions/privapp-permissions-amlogic.xml b/permissions/privapp-permissions-amlogic.xml
index af5ae4a..af5ae4a 100755..100644
--- a/permissions/privapp-permissions-amlogic.xml
+++ b/permissions/privapp-permissions-amlogic.xml
diff --git a/product_property.mk b/product_property.mk
index 94df642..94df642 100755..100644
--- a/product_property.mk
+++ b/product_property.mk
diff --git a/products/mbox/g12a/device.mk b/products/mbox/g12a/device.mk
index 324cec9..324cec9 100755..100644
--- a/products/mbox/g12a/device.mk
+++ b/products/mbox/g12a/device.mk
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml b/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml
index 4cef55a..4cef55a 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml b/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml
index 50fb608..50fb608 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml b/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml
index edbd9ac..edbd9ac 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_HDR.xml b/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_HDR.xml
index ed81945..ed81945 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_HDR.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_HDR.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml b/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml
index 268538e..268538e 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml b/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml
index 77f177c..77f177c 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/CM2/FIELD_CM2.xml b/products/mbox/g12a/files/PQ/pq_xml/CM2/FIELD_CM2.xml
index 61022a1..61022a1 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/CM2/FIELD_CM2.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/CM2/FIELD_CM2.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml b/products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml
index 8aa4b3b..8aa4b3b 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_PAL.xml b/products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_PAL.xml
index 1e80475..1e80475 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_PAL.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/DI/DI_CVBS_PAL.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/DI/DI_MPEG.xml b/products/mbox/g12a/files/PQ/pq_xml/DI/DI_MPEG.xml
index e1fab7f..e1fab7f 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/DI/DI_MPEG.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/DI/DI_MPEG.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/DI/FIELD_DI.xml b/products/mbox/g12a/files/PQ/pq_xml/DI/FIELD_DI.xml
index 83d2185..83d2185 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/DI/FIELD_DI.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/DI/FIELD_DI.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_NTSC.xml b/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_NTSC.xml
index 847337f..847337f 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_NTSC.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_NTSC.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_PAL.xml b/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_PAL.xml
index 557928c..557928c 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_PAL.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_CVBS_PAL.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml b/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml
index 8ba4582..8ba4582 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml b/products/mbox/g12a/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml
index 793c6bf..793c6bf 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_NTSC.xml b/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_NTSC.xml
index 0b8082d..0b8082d 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_NTSC.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_NTSC.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_PAL.xml b/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_PAL.xml
index d275297..d275297 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_PAL.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_CVBS_PAL.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml b/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml
index e0bd45d..e0bd45d 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml b/products/mbox/g12a/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml
index d4b0e4b..d4b0e4b 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml b/products/mbox/g12a/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml
index e3e3ece..e3e3ece 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_NTSC.xml b/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_NTSC.xml
index f866873..f866873 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_NTSC.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_NTSC.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_PAL.xml b/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_PAL.xml
index 97a5641..97a5641 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_PAL.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_CVBS_PAL.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml b/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml
index 9ac22b4..9ac22b4 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml b/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml
index 2ec5a42..2ec5a42 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/NR/FIELD_NR.xml b/products/mbox/g12a/files/PQ/pq_xml/NR/FIELD_NR.xml
index bb7cb83..bb7cb83 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/NR/FIELD_NR.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/NR/FIELD_NR.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_NTSC.xml b/products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_NTSC.xml
index e299387..e299387 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_NTSC.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_NTSC.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_PAL.xml b/products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_PAL.xml
index 97da903..97da903 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_PAL.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/NR/NR_CVBS_PAL.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_HD.xml b/products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_HD.xml
index eef32ba..eef32ba 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_HD.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_HD.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_SD.xml b/products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_SD.xml
index 3e7a4ba..3e7a4ba 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_SD.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/NR/NR_MPEG_SD.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml b/products/mbox/g12a/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml
index 0d323a5..0d323a5 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml b/products/mbox/g12a/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml
index 91fd72a..91fd72a 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
index 9dfeab4..9dfeab4 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_NTSC.xml b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_NTSC.xml
index 0d512fc..0d512fc 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_NTSC.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_NTSC.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_PAL.xml b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_PAL.xml
index c3ff3c2..c3ff3c2 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_PAL.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_CVBS_PAL.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml
index d75b82b..d75b82b 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml
index ccd2f23..ccd2f23 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml
index 5b6e9a2..5b6e9a2 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml
diff --git a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml
index add4aa2..add4aa2 100755..100644
--- a/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml
+++ b/products/mbox/g12a/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml
diff --git a/products/mbox/gxl/device.mk b/products/mbox/gxl/device.mk
index 83ac65e..83ac65e 100755..100644
--- a/products/mbox/gxl/device.mk
+++ b/products/mbox/gxl/device.mk
diff --git a/products/mbox/gxl/files/media_profiles.xml b/products/mbox/gxl/files/media_profiles.xml
index 16e8eb8..16e8eb8 100755..100644
--- a/products/mbox/gxl/files/media_profiles.xml
+++ b/products/mbox/gxl/files/media_profiles.xml
diff --git a/products/mbox/gxl/files/media_profiles_V1_0.xml b/products/mbox/gxl/files/media_profiles_V1_0.xml
index 1fce151..1fce151 100755..100644
--- a/products/mbox/gxl/files/media_profiles_V1_0.xml
+++ b/products/mbox/gxl/files/media_profiles_V1_0.xml
diff --git a/products/mbox/sc2/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml b/products/mbox/sc2/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml
index 931e10d..218a40d 100644
--- a/products/mbox/sc2/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml
+++ b/products/mbox/sc2/files/PQ/pq_xml/DI/DI_CVBS_NTSC.xml
@@ -1,153 +1,153 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<Form name="DI_CVBS_NTSC" platform="txlx" commands="">
-<Group num="0" val="">
-<Page tab_title="EI_NR CTRL" layout="35x4">
-<Control ui_type="slider" name="ei0_filter" range="0~255" addr="0x1704" mask="23:16" dst="U" desc="ei0_filter[2:+] abs_diff_left>filter and ...right>filter and ...top>filter and ...bot>filter -> filter" default="0" value="255" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_threshold" range="0~255" addr="0x1704" mask="15:8" dst="U" desc="ei0_threshold[2:+]" default="0" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="ei0_vertical" range="0~1" addr="0x1704" mask="3:3" dst="U" desc="ei0_vertical" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="ei0_bpscf2" range="0~1" addr="0x1704" mask="2:2" dst="U" desc="ei0_bpscf2" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="ei0_bpsfar1" range="0~1" addr="0x1704" mask="1:1" dst="U" desc="ei0_bpsfar1" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_diff" range="0~255" addr="0x1705" mask="31:24" dst="U" desc="ei0_diff" default="0" value="90" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_angle45" range="0~255" addr="0x1705" mask="23:16" dst="U" desc="ei0_angle45" default="0" value="10" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_peak" range="0~255" addr="0x1705" mask="15:8" dst="U" desc="ei0_peak" default="0" value="15" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_cross" range="0~255" addr="0x1705" mask="7:0" dst="U" desc="ei0_cross" default="0" value="45" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_close2" range="0~255" addr="0x1706" mask="31:24" dst="U" desc="ei0_close2" default="0" value="5" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_close1" range="0~255" addr="0x1706" mask="23:16" dst="U" desc="ei0_close1" default="0" value="10" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_far2" range="0~255" addr="0x1706" mask="15:8" dst="U" desc="ei0_far2" default="0" value="10" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="ei0_far1" range="0~255" addr="0x1706" mask="7:0" dst="U" desc="ei0_far1" default="0" value="90" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="nr_cue_en" range="0~1" addr="0x1707" mask="26:26" dst="U" desc="nr_cue_en" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="nr2_en" range="0~1" addr="0x1707" mask="25:25" dst="U" desc="nr2_en" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_p1txtcore_mode" range="0~3" addr="0x1708" mask="31:30" dst="U" desc="mot_p1txtcore_mode" default="0" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_p1txtcore_clmt" range="0~63" addr="0x1708" mask="29:24" dst="U" desc="mot_p1txtcore_clmt" default="0" value="63" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_p1txtcore_ylmt" range="0~63" addr="0x1708" mask="21:16" dst="U" desc="mot_p1txtcore_ylmt" default="0" value="63" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_p1txtcore_crate" range="0~255" addr="0x1708" mask="15:8" dst="U" desc="mot_p1txtcore_crate" default="0" value="16" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_p1txtcore_yrate" range="0~255" addr="0x1708" mask="7:0" dst="U" desc="mot_p1txtcore_yrate" default="0" value="16" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_curtxtcore_clmt" range="0~63" addr="0x1709" mask="29:24" dst="U" desc="mot_curtxtcore_clmt" default="0" value="63" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_curtxtcore_ylmt" range="0~63" addr="0x1709" mask="21:16" dst="U" desc="mot_curtxtcore_ylmt" default="0" value="63" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_curtxtcore_crate" range="0~255" addr="0x1709" mask="15:8" dst="U" desc="mot_curtxtcore_crate" default="0" value="16" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="mot_curtxtcore_yrate" range="0~255" addr="0x1709" mask="7:0" dst="U" desc="mot_curtxtcore_yrate" default="0" value="16" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_amblike2_biasvertical" range="0~1" addr="0x171a" mask="29:29" dst="U" desc="reg_ei_caldrt_amblike2_biasvertical" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_addxla2list_drtmax" range="0~31" addr="0x171a" mask="28:24" dst="U" desc="reg_ei_caldrt_addxla2list_drtmax" default="21" value="21" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_addxla2list_signm0th" range="0~7" addr="0x171a" mask="22:20" dst="U" desc="reg_ei_caldrt_addxla2list_signm0th" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_addxla2list_mode" range="0~1" addr="0x171a" mask="19:19" dst="U" desc="reg_ei_caldrt_addxla2list_mode" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_signm_sad_cor_rate" range="0~7" addr="0x171a" mask="18:16" dst="U" desc="reg_ei_signm_sad_cor_rate" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_signm_sadi_cor_rate" range="0~15" addr="0x171a" mask="15:12" dst="U" desc="reg_ei_signm_sadi_cor_rate" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_signm_sadi_cor_ofst" range="0~63" addr="0x171a" mask="11:6" dst="U" desc="reg_ei_signm_sadi_cor_ofst" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_signm_sad_ofst" range="0~63" addr="0x171a" mask="5:0" dst="U" desc="reg_ei_signm_sad_ofst" default="4" value="4" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_cnflcctchk_frcverthrd" range="0~7" addr="0x171b" mask="30:28" dst="U" desc="reg_ei_caldrt_cnflcctchk_frcverthrd" default="5" value="5" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_cnflctchk_mg" range="0~7" addr="0x171b" mask="26:24" dst="U" desc="reg_ei_caldrt_cnflctchk_mg" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_cnflctchk_ws" range="0~3" addr="0x171b" mask="23:22" dst="U" desc="reg_ei_caldrt_cnflctchk_ws" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_cnflctchk_en" range="0~1" addr="0x171b" mask="21:21" dst="U" desc="reg_ei_caldrt_cnflctchk_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_verfrc_final_en" range="0~1" addr="0x171b" mask="20:20" dst="U" desc="reg_ei_caldrt_verfrc_final_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_verfrc_retimflt_en" range="0~1" addr="0x171b" mask="19:19" dst="U" desc="reg_ei_caldrt_verfrc_retimflt_en" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_verftc_eithratemth" range="0~7" addr="0x171b" mask="18:16" dst="U" desc="reg_ei_caldrt_verftc_eithratemth" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_verfrc_retiming_en" range="0~1" addr="0x171b" mask="15:15" dst="U" desc="reg_ei_caldrt_verfrc_retiming_en" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_verfrc_bothratemth" range="0~7" addr="0x171b" mask="14:12" dst="U" desc="reg_ei_caldrt_verfrc_bothratemth" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_ver_thrd" range="0~7" addr="0x171b" mask="11:9" dst="U" desc="reg_ei_caldrt_ver_thrd" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_addxla2list_drtmin" range="0~31" addr="0x171b" mask="8:4" dst="U" desc="reg_ei_caldrt_addxla2list_drtmin" default="4" value="4" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_addxla2list_drtlimit" range="0~15" addr="0x171b" mask="3:0" dst="U" desc="reg_ei_caldrt_addxla2list_drtlimit" default="15" value="15" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_sad12thhig" range="0~255" addr="0x171c" mask="31:24" dst="U" desc="reg_ei_caldrt_abext_sad12thhig" default="80" value="80" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_sad00thlow" range="0~255" addr="0x171c" mask="23:16" dst="U" desc="reg_ei_caldrt_abext_sad00thlow" default="35" value="35" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_sad12thlow" range="0~255" addr="0x171c" mask="15:8" dst="U" desc="reg_ei_caldrt_abext_sad12thlow" default="28" value="28" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_ratemth" range="0~7" addr="0x171c" mask="6:4" dst="U" desc="reg_ei_caldrt_abext_ratemth" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_drtthrd" range="0~7" addr="0x171c" mask="2:0" dst="U" desc="reg_ei_caldrt_abext_drtthrd" default="5" value="5" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_xlanopeak_codien" range="0~1" addr="0x171d" mask="29:29" dst="U" desc="reg_ei_caldrt_xlanopeak_codien" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_xlanopeak_drtmax" range="0~31" addr="0x171d" mask="28:24" dst="U" desc="reg_ei_caldrt_xlanopeak_drtmax" default="15" value="15" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_xlanopeak_en" range="0~1" addr="0x171d" mask="23:23" dst="U" desc="reg_ei_caldrt_xlanopeak_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_monotrnd_alpha" range="0~7" addr="0x171d" mask="22:20" dst="U" desc="reg_ei_caldrt_abext_monotrnd_alpha" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_mononum12_thrd" range="0~3" addr="0x171d" mask="19:18" dst="U" desc="reg_ei_caldrt_abext_mononum12_thrd" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_mononum00_thrd" range="0~3" addr="0x171d" mask="17:16" dst="U" desc="reg_ei_caldrt_abext_mononum00_thrd" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_sad00rate" range="0~15" addr="0x171d" mask="15:12" dst="U" desc="reg_ei_caldrt_abext_sad00rate" default="6" value="6" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_sad12rate" range="0~15" addr="0x171d" mask="11:8" dst="U" desc="reg_ei_caldrt_abext_sad12rate" default="6" value="6" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abext_sad00thhig" range="0~255" addr="0x171d" mask="7:0" dst="U" desc="reg_ei_caldrt_abext_sad00thhig" default="80" value="80" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_assign_headtail_magin" range="0~7" addr="0x171e" mask="30:28" dst="U" desc="reg_ei_assign_headtail_magin" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_retime_lastcurpncnfltchk_mode" range="0~7" addr="0x171e" mask="26:24" dst="U" desc="reg_ei_retime_lastcurpncnfltchk_mode" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_retime_lastcurpncnfltchk_drtth" range="0~3" addr="0x171e" mask="22:21" dst="U" desc="reg_ei_retime_lastcurpncnfltchk_drtth" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_histchk_cnfid" range="0~1" addr="0x171e" mask="20:20" dst="U" desc="reg_ei_caldrt_histchk_cnfid" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_histchk_thrd" range="0~15" addr="0x171e" mask="19:16" dst="U" desc="reg_ei_caldrt_histchk_thrd" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_histchk_abext" range="0~1" addr="0x171e" mask="15:15" dst="U" desc="reg_ei_caldrt_histchk_abext" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_histchk_npen" range="0~1" addr="0x171e" mask="14:14" dst="U" desc="reg_ei_caldrt_histchk_npen" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_amblike2_drtmg" range="0~7" addr="0x171e" mask="13:11" dst="U" desc="reg_ei_caldrt_amblike2_drtmg" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_amblike2_valmg" range="0~7" addr="0x171e" mask="10:8" dst="U" desc="reg_ei_caldrt_amblike2_valmg" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_amblike2_alpha" range="0~15" addr="0x171e" mask="7:4" dst="U" desc="reg_ei_caldrt_amblike2_alpha" default="10" value="10" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_amblike2_drtth" range="0~15" addr="0x171e" mask="3:0" dst="U" desc="reg_ei_caldrt_amblike2_drtth" default="4" value="4" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_frcvert_xla_th3" range="0~15" addr="0x171f" mask="31:28" dst="U" desc="reg_ei_caldrt_hcnfcheck_frcvert_xla_th3" default="7" value="7" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_hcnfcheck_frcvert_xla_en" range="0~1" addr="0x171f" mask="27:27" dst="U" desc="reg_ei_caldrt_hcnfcheck_frcvert_xla_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_conf_drtth" range="0~7" addr="0x171f" mask="26:24" dst="U" desc="reg_ei_caldrt_conf_drtth" default="4" value="4" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_conf_absdrtth" range="0~15" addr="0x171f" mask="23:20" dst="U" desc="reg_ei_caldrt_conf_absdrtth" default="11" value="11" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abcheck_mode1" range="0~3" addr="0x171f" mask="19:18" dst="U" desc="reg_ei_caldrt_abcheck_mode1" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abcheck_mode0" range="0~3" addr="0x171f" mask="17:16" dst="U" desc="reg_ei_caldrt_abcheck_mode0" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abcheck_drtth1" range="0~15" addr="0x171f" mask="15:12" dst="U" desc="reg_ei_caldrt_abcheck_drtth1" default="11" value="11" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abcheck_drtth0" range="0~15" addr="0x171f" mask="11:8" dst="U" desc="reg_ei_caldrt_abcheck_drtth0" default="11" value="11" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_abpnchk1_th" range="0~7" addr="0x171f" mask="6:4" dst="U" desc="reg_ei_caldrt_abpnchk1_th" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_abpnchk1_en" range="0~1" addr="0x171f" mask="1:1" dst="U" desc="reg_ei_caldrt_abpnchk1_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_abpnchk0_en" range="0~1" addr="0x171f" mask="0:0" dst="U" desc="reg_ei_caldrt_abpnchk0_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hstrrgchk_drtth" range="0~15" addr="0x1793" mask="31:28" dst="U" desc="reg_ei_caldrt_hstrrgchk_drtth" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hstrrgchk_frcverthrd" range="0~15" addr="0x1793" mask="27:24" dst="U" desc="reg_ei_caldrt_hstrrgchk_frcverthrd" default="8" value="8" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hstrrgchk_mg" range="0~15" addr="0x1793" mask="23:20" dst="U" desc="reg_ei_caldrt_hstrrgchk_mg" default="4" value="4" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_hstrrgchk_1sidnul" range="0~1" addr="0x1793" mask="19:19" dst="U" desc="reg_ei_caldrt_hstrrgchk_1sidnul" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_hstrrgchk_excpcnf" range="0~1" addr="0x1793" mask="18:18" dst="U" desc="reg_ei_caldrt_hstrrgchk_excpcnf" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hstrrgchk_ws" range="0~3" addr="0x1793" mask="17:16" dst="U" desc="reg_ei_caldrt_hstrrgchk_ws" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_hstrrgchk_en" range="0~1" addr="0x1793" mask="15:15" dst="U" desc="reg_ei_caldrt_hstrrgchk_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hpncheck_mode" range="0~3" addr="0x1793" mask="14:13" dst="U" desc="reg_ei_caldrt_hpncheck_mode" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_hpncheck_mute" range="0~1" addr="0x1793" mask="12:12" dst="U" desc="reg_ei_caldrt_hpncheck_mute" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_mg2" range="0~7" addr="0x1793" mask="11:9" dst="U" desc="reg_ei_caldrt_hcnfcheck_mg2" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_mg1" range="0~7" addr="0x1793" mask="8:6" dst="U" desc="reg_ei_caldrt_hcnfcheck_mg1" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_mode" range="0~3" addr="0x1793" mask="5:4" dst="U" desc="reg_ei_caldrt_hcnfcheck_mode" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_frcvert_xla_th5" range="0~15" addr="0x1793" mask="3:0" dst="U" desc="reg_ei_caldrt_hcnfcheck_frcvert_xla_th5" default="9" value="9" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_amb_detect_mode" range="0~3" addr="0x179e" mask="30:29" dst="U" desc="reg_ei_amb_detect_mode" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_amb_detect_winth" range="0~31" addr="0x179e" mask="28:24" dst="U" desc="reg_ei_amb_detect_winth" default="8" value="8" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_amb_decide_rppth" range="0~7" addr="0x179e" mask="23:21" dst="U" desc="reg_ei_amb_decide_rppth" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_retime_lastmappncnfltchk_drtth" range="0~3" addr="0x179e" mask="20:19" dst="U" desc="reg_ei_retime_lastmappncnfltchk_drtth" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_retime_lastmappncnfltchk_mode" range="0~7" addr="0x179e" mask="18:16" dst="U" desc="reg_ei_retime_lastmappncnfltchk_mode" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_retime_lastmapvertfrcchk_mode" range="0~3" addr="0x179e" mask="15:14" dst="U" desc="reg_ei_retime_lastmapvertfrcchk_mode" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_retime_lastvertfrcchk_mode" range="0~3" addr="0x179e" mask="13:12" dst="U" desc="reg_ei_retime_lastvertfrcchk_mode" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_retime_lastpnchk_drtth" range="0~15" addr="0x179e" mask="11:8" dst="U" desc="reg_ei_retime_lastpnchk_drtth" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_retime_lastpnchk_en" range="0~1" addr="0x179e" mask="6:6" dst="U" desc="reg_ei_retime_lastpnchk_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_retime_mode" range="0~3" addr="0x179e" mask="5:4" dst="U" desc="reg_ei_retime_mode" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_retime_last_en" range="0~1" addr="0x179e" mask="3:3" dst="U" desc="reg_ei_retime_last_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_retime_ab_en" range="0~1" addr="0x179e" mask="2:2" dst="U" desc="reg_ei_retime_ab_en" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_hstrvertfrcchk_en" range="0~1" addr="0x179e" mask="1:1" dst="U" desc="reg_ei_caldrt_hstrvertfrcchk_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_caldrt_hstrrgchk_mode" range="0~1" addr="0x179e" mask="0:0" dst="U" desc="reg_ei_caldrt_hstrrgchk_mode" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_drtdelay2_lmt" range="0~15" addr="0x179f" mask="31:28" dst="U" desc="reg_ei_drtdelay2_lmt" default="13" value="10" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_drtdelay2_notver_lrwin" range="0~3" addr="0x179f" mask="27:26" dst="U" desc="reg_ei_drtdelay2_notver_lrwin" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_drtdelay_mode" range="0~3" addr="0x179f" mask="25:24" dst="U" desc="reg_ei_drtdelay_mode" default="3" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_drtdelay2_mode" range="0~1" addr="0x179f" mask="23:23" dst="U" desc="reg_ei_drtdelay2_mode" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_assign_xla_signm0th" range="0~7" addr="0x179f" mask="22:20" dst="U" desc="reg_ei_assign_xla_signm0th" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_assign_pkbiasvert_en" range="0~1" addr="0x179f" mask="19:19" dst="U" desc="reg_ei_assign_pkbiasvert_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="checkbox" name="reg_ei_assign_xla_en" range="0~1" addr="0x179f" mask="18:18" dst="U" desc="reg_ei_assign_xla_en" default="1" value="1" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_assign_xla_mode" range="0~3" addr="0x179f" mask="17:16" dst="U" desc="reg_ei_assign_xla_mode" default="0" value="3" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_assign_nlfilter_magin" range="0~15" addr="0x179f" mask="15:12" dst="U" desc="reg_ei_assign_nlfilter_magin" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_localsearch_maxrange" range="0~15" addr="0x179f" mask="11:8" dst="U" desc="reg_ei_localsearch_maxrange" default="5" value="5" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_xla_drtth" range="0~15" addr="0x179f" mask="7:4" dst="U" desc="reg_ei_xla_drtth" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_flatmsad_thrd" range="0~15" addr="0x179f" mask="3:0" dst="U" desc="reg_ei_flatmsad_thrd" default="3" value="12" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_int_drt2x_chrdrt_limit" range="0~15" addr="0x17a8" mask="27:24" dst="U" desc="reg_ei_int_drt2x_chrdrt_limit" default="15" value="15" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_int_drt16x_core" range="0~15" addr="0x17a8" mask="23:20" dst="U" desc="reg_ei_int_drt16x_core" default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_int_drtdelay2_notver_cancv" range="0~15" addr="0x17a8" mask="19:16" dst="U" desc="reg_ei_int_drtdelay2_notver_cancv" default="2" value="2" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_int_drtdelay2_notver_sadth" range="0~255" addr="0x17a8" mask="15:8" dst="U" desc="reg_ei_int_drtdelay2_notver_sadth" default="20" value="20" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_ei_int_drtdelay2_vlddrt_sadth" range="0~255" addr="0x17a8" mask="7:0" dst="U" desc="reg_ei_int_drtdelay2_vlddrt_sadth" default="20" value="20" cmd="common:2,0xff000000" />
-</Page>
-<Page tab_title="EI_DRT" layout="8x4">
-<Control ui_type="slider" name="reg_min_pix:debug" range="0~255" addr="0x1779" mask="31:24" dst="U" desc="reg_min_pix: the threshold of min pix of photos, less than threshold the pix do not participate in the monotonic trend calculation." default="22" value="22" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_max_pix:debug" range="0~255" addr="0x1779" mask="23:16" dst="U" desc="reg_max_pix:the threshold of max pix of photos, >threshold the pix do not participate in the monotonic trend calculation." default="203" value="203" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_dmaxmin_thrdma:debug" range="0~255" addr="0x1779" mask="15:8" dst="U" desc="reg_dmaxmin_thrdma: the max pixel and min pixel difference is larger than the value the trend existent." default="50" value="50" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_dmaxmin_thrdmi:debug" range="0~255" addr="0x1779" mask="7:0" dst="U" desc="reg_dmaxmin_thrdmi: the max pixel and min pixel difference is smaller than the value the trend non-existent." default="30" value="30" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_newcorrpix_maxthrd:debug" range="0~255" addr="0x177a" mask="31:24" dst="U" desc="reg_newcorrpix_maxthrd:the new low angle drt sad threshold." default="40" value="35" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_corrpix_diffthrd:debug" range="0~255" addr="0x177a" mask="23:16" dst="U" desc="reg_corrpix_diffthrd: the top and bottom pixel difference is larger than the value, the case may be ultra-low angle." default="60" value="150" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_corrpix_minthrd:debug" range="0~255" addr="0x177a" mask="15:8" dst="U" desc="reg_corrpix_minthrd: the difference of top and bottom pixel is smaller than the value, the drt may be raw drt." default="10" value="10" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_corrpix_maxthrd:debug" range="0~255" addr="0x177a" mask="7:0" dst="U" desc="reg_corrpix_maxthrd: the difference of top and bottom pixel is larger than the value, the drt may be raw drt." default="20" value="20" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_max_pixwave:debug" range="0~31" addr="0x177b" mask="28:24" dst="U" desc="reg_max_pixwave: the wave of the max pix threshold, prevent min pix close to reg_max_pix caused the number between max and min pix zeros." default="0" value="0" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_pix_wave:debug" range="0~31" addr="0x177b" mask="20:16" dst="U" desc="reg_pix_wave: the wave of the max and min pix, the max pixel smaller than the value or the min pixel larger than the value, may be the ultra-low angle case." default="15" value="15" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_maxdrt_thrd:debug" range="0~63" addr="0x177b" mask="13:8" dst="U" desc="reg_maxdrt_thrd: the threshold of the low angle max drt." default="40" value="40" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_wave_thrd:debug" range="0~255" addr="0x177b" mask="7:0" dst="U" desc="reg_wave_thrd:in bilateral cases tow pixel difference is smaller than the value, the trend between the tow pixel not change." default="20" value="20" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_newraw_thrd:debug" range="0~255" addr="0x177c" mask="31:24" dst="U" desc="reg_newraw_thrd: the old drt and new drt transition threshold." default="32" value="32" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_tb_max_thrd:debug" range="0~255" addr="0x177c" mask="23:16" dst="U" desc="reg_tb_max_thrd: the threshold of top and bottom max or min pixel." default="10" value="10" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_diffpix_thrd:debug" range="0~255" addr="0x177c" mask="15:8" dst="U" desc="reg_diffpix_thrd: Max-Min less than the value,the trend is non-existent." default="20" value="20" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_bilt_trendnumt:debug" range="0~63" addr="0x177c" mask="5:0" dst="U" desc="reg_bilt_trendnumt: in bilateral cases the difference between the top and bottom pixel number of the monotonic trend smaller than the value is low angle." default="5" value="5" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_trend_numb:debug" range="0~31" addr="0x177d" mask="28:24" dst="U" desc="reg_trend_numb:in bilateral cases the pixel number of the monotonic trend larger than the value is low angle." default="10" value="10" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_bilt_trendnum:debug" range="0~31" addr="0x177d" mask="20:16" dst="U" desc="reg_bilt_trendnum:in bilateral cases the pixel number of the trend larger than the value is low angle." default="4" value="4" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_unil_trendnumt:debug" range="0~31" addr="0x177d" mask="12:8" dst="U" desc="reg_unil_trendnumt: in unilateral cases the difference between the top and bottom pixel number of the monotonic trend smaller than the value is low angle." default="7" value="7" cmd="common:2,0xff000000" />
-<Control ui_type="slider" name="reg_trend_num:debug" range="0~31" addr="0x177d" mask="4:0" dst="U" desc="reg_trend_num: in unilateral cases the pixel number of the trend larger than the value is ultra-low angle." default="10" value="10" cmd="common:2,0xff000000" />
-</Page>
-</Group>
+<?xml version="1.0" encoding="UTF-8"?>
+<Form name="DI_CVBS_NTSC" platform="txlx" commands="">
+<Group num="0" val="">
+<Page tab_title="EI_NR CTRL" layout="35x4">
+<Control ui_type="slider" name="ei0_filter" range="0~255" addr="0x1704" mask="23:16" dst="U" desc="ei0_filter[2:+] abs_diff_left>filter and ...right>filter and ...top>filter and ...bot>filter -> filter" default="0" value="255" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_threshold" range="0~255" addr="0x1704" mask="15:8" dst="U" desc="ei0_threshold[2:+]" default="0" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="ei0_vertical" range="0~1" addr="0x1704" mask="3:3" dst="U" desc="ei0_vertical" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="ei0_bpscf2" range="0~1" addr="0x1704" mask="2:2" dst="U" desc="ei0_bpscf2" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="ei0_bpsfar1" range="0~1" addr="0x1704" mask="1:1" dst="U" desc="ei0_bpsfar1" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_diff" range="0~255" addr="0x1705" mask="31:24" dst="U" desc="ei0_diff" default="0" value="90" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_angle45" range="0~255" addr="0x1705" mask="23:16" dst="U" desc="ei0_angle45" default="0" value="10" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_peak" range="0~255" addr="0x1705" mask="15:8" dst="U" desc="ei0_peak" default="0" value="15" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_cross" range="0~255" addr="0x1705" mask="7:0" dst="U" desc="ei0_cross" default="0" value="45" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_close2" range="0~255" addr="0x1706" mask="31:24" dst="U" desc="ei0_close2" default="0" value="5" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_close1" range="0~255" addr="0x1706" mask="23:16" dst="U" desc="ei0_close1" default="0" value="10" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_far2" range="0~255" addr="0x1706" mask="15:8" dst="U" desc="ei0_far2" default="0" value="10" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="ei0_far1" range="0~255" addr="0x1706" mask="7:0" dst="U" desc="ei0_far1" default="0" value="90" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="nr_cue_en" range="0~1" addr="0x1707" mask="26:26" dst="U" desc="nr_cue_en" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="nr2_en" range="0~1" addr="0x1707" mask="25:25" dst="U" desc="nr2_en" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_p1txtcore_mode" range="0~3" addr="0x1708" mask="31:30" dst="U" desc="mot_p1txtcore_mode" default="0" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_p1txtcore_clmt" range="0~63" addr="0x1708" mask="29:24" dst="U" desc="mot_p1txtcore_clmt" default="0" value="63" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_p1txtcore_ylmt" range="0~63" addr="0x1708" mask="21:16" dst="U" desc="mot_p1txtcore_ylmt" default="0" value="63" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_p1txtcore_crate" range="0~255" addr="0x1708" mask="15:8" dst="U" desc="mot_p1txtcore_crate" default="0" value="16" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_p1txtcore_yrate" range="0~255" addr="0x1708" mask="7:0" dst="U" desc="mot_p1txtcore_yrate" default="0" value="16" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_curtxtcore_clmt" range="0~63" addr="0x1709" mask="29:24" dst="U" desc="mot_curtxtcore_clmt" default="0" value="63" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_curtxtcore_ylmt" range="0~63" addr="0x1709" mask="21:16" dst="U" desc="mot_curtxtcore_ylmt" default="0" value="63" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_curtxtcore_crate" range="0~255" addr="0x1709" mask="15:8" dst="U" desc="mot_curtxtcore_crate" default="0" value="16" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="mot_curtxtcore_yrate" range="0~255" addr="0x1709" mask="7:0" dst="U" desc="mot_curtxtcore_yrate" default="0" value="16" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_amblike2_biasvertical" range="0~1" addr="0x171a" mask="29:29" dst="U" desc="reg_ei_caldrt_amblike2_biasvertical" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_addxla2list_drtmax" range="0~31" addr="0x171a" mask="28:24" dst="U" desc="reg_ei_caldrt_addxla2list_drtmax" default="21" value="21" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_addxla2list_signm0th" range="0~7" addr="0x171a" mask="22:20" dst="U" desc="reg_ei_caldrt_addxla2list_signm0th" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_addxla2list_mode" range="0~1" addr="0x171a" mask="19:19" dst="U" desc="reg_ei_caldrt_addxla2list_mode" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_signm_sad_cor_rate" range="0~7" addr="0x171a" mask="18:16" dst="U" desc="reg_ei_signm_sad_cor_rate" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_signm_sadi_cor_rate" range="0~15" addr="0x171a" mask="15:12" dst="U" desc="reg_ei_signm_sadi_cor_rate" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_signm_sadi_cor_ofst" range="0~63" addr="0x171a" mask="11:6" dst="U" desc="reg_ei_signm_sadi_cor_ofst" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_signm_sad_ofst" range="0~63" addr="0x171a" mask="5:0" dst="U" desc="reg_ei_signm_sad_ofst" default="4" value="4" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_cnflcctchk_frcverthrd" range="0~7" addr="0x171b" mask="30:28" dst="U" desc="reg_ei_caldrt_cnflcctchk_frcverthrd" default="5" value="5" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_cnflctchk_mg" range="0~7" addr="0x171b" mask="26:24" dst="U" desc="reg_ei_caldrt_cnflctchk_mg" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_cnflctchk_ws" range="0~3" addr="0x171b" mask="23:22" dst="U" desc="reg_ei_caldrt_cnflctchk_ws" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_cnflctchk_en" range="0~1" addr="0x171b" mask="21:21" dst="U" desc="reg_ei_caldrt_cnflctchk_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_verfrc_final_en" range="0~1" addr="0x171b" mask="20:20" dst="U" desc="reg_ei_caldrt_verfrc_final_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_verfrc_retimflt_en" range="0~1" addr="0x171b" mask="19:19" dst="U" desc="reg_ei_caldrt_verfrc_retimflt_en" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_verftc_eithratemth" range="0~7" addr="0x171b" mask="18:16" dst="U" desc="reg_ei_caldrt_verftc_eithratemth" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_verfrc_retiming_en" range="0~1" addr="0x171b" mask="15:15" dst="U" desc="reg_ei_caldrt_verfrc_retiming_en" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_verfrc_bothratemth" range="0~7" addr="0x171b" mask="14:12" dst="U" desc="reg_ei_caldrt_verfrc_bothratemth" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_ver_thrd" range="0~7" addr="0x171b" mask="11:9" dst="U" desc="reg_ei_caldrt_ver_thrd" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_addxla2list_drtmin" range="0~31" addr="0x171b" mask="8:4" dst="U" desc="reg_ei_caldrt_addxla2list_drtmin" default="4" value="4" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_addxla2list_drtlimit" range="0~15" addr="0x171b" mask="3:0" dst="U" desc="reg_ei_caldrt_addxla2list_drtlimit" default="15" value="15" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_sad12thhig" range="0~255" addr="0x171c" mask="31:24" dst="U" desc="reg_ei_caldrt_abext_sad12thhig" default="80" value="80" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_sad00thlow" range="0~255" addr="0x171c" mask="23:16" dst="U" desc="reg_ei_caldrt_abext_sad00thlow" default="35" value="35" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_sad12thlow" range="0~255" addr="0x171c" mask="15:8" dst="U" desc="reg_ei_caldrt_abext_sad12thlow" default="28" value="28" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_ratemth" range="0~7" addr="0x171c" mask="6:4" dst="U" desc="reg_ei_caldrt_abext_ratemth" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_drtthrd" range="0~7" addr="0x171c" mask="2:0" dst="U" desc="reg_ei_caldrt_abext_drtthrd" default="5" value="5" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_xlanopeak_codien" range="0~1" addr="0x171d" mask="29:29" dst="U" desc="reg_ei_caldrt_xlanopeak_codien" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_xlanopeak_drtmax" range="0~31" addr="0x171d" mask="28:24" dst="U" desc="reg_ei_caldrt_xlanopeak_drtmax" default="15" value="15" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_xlanopeak_en" range="0~1" addr="0x171d" mask="23:23" dst="U" desc="reg_ei_caldrt_xlanopeak_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_monotrnd_alpha" range="0~7" addr="0x171d" mask="22:20" dst="U" desc="reg_ei_caldrt_abext_monotrnd_alpha" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_mononum12_thrd" range="0~3" addr="0x171d" mask="19:18" dst="U" desc="reg_ei_caldrt_abext_mononum12_thrd" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_mononum00_thrd" range="0~3" addr="0x171d" mask="17:16" dst="U" desc="reg_ei_caldrt_abext_mononum00_thrd" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_sad00rate" range="0~15" addr="0x171d" mask="15:12" dst="U" desc="reg_ei_caldrt_abext_sad00rate" default="6" value="6" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_sad12rate" range="0~15" addr="0x171d" mask="11:8" dst="U" desc="reg_ei_caldrt_abext_sad12rate" default="6" value="6" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abext_sad00thhig" range="0~255" addr="0x171d" mask="7:0" dst="U" desc="reg_ei_caldrt_abext_sad00thhig" default="80" value="80" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_assign_headtail_magin" range="0~7" addr="0x171e" mask="30:28" dst="U" desc="reg_ei_assign_headtail_magin" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_retime_lastcurpncnfltchk_mode" range="0~7" addr="0x171e" mask="26:24" dst="U" desc="reg_ei_retime_lastcurpncnfltchk_mode" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_retime_lastcurpncnfltchk_drtth" range="0~3" addr="0x171e" mask="22:21" dst="U" desc="reg_ei_retime_lastcurpncnfltchk_drtth" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_histchk_cnfid" range="0~1" addr="0x171e" mask="20:20" dst="U" desc="reg_ei_caldrt_histchk_cnfid" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_histchk_thrd" range="0~15" addr="0x171e" mask="19:16" dst="U" desc="reg_ei_caldrt_histchk_thrd" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_histchk_abext" range="0~1" addr="0x171e" mask="15:15" dst="U" desc="reg_ei_caldrt_histchk_abext" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_histchk_npen" range="0~1" addr="0x171e" mask="14:14" dst="U" desc="reg_ei_caldrt_histchk_npen" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_amblike2_drtmg" range="0~7" addr="0x171e" mask="13:11" dst="U" desc="reg_ei_caldrt_amblike2_drtmg" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_amblike2_valmg" range="0~7" addr="0x171e" mask="10:8" dst="U" desc="reg_ei_caldrt_amblike2_valmg" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_amblike2_alpha" range="0~15" addr="0x171e" mask="7:4" dst="U" desc="reg_ei_caldrt_amblike2_alpha" default="10" value="10" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_amblike2_drtth" range="0~15" addr="0x171e" mask="3:0" dst="U" desc="reg_ei_caldrt_amblike2_drtth" default="4" value="4" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_frcvert_xla_th3" range="0~15" addr="0x171f" mask="31:28" dst="U" desc="reg_ei_caldrt_hcnfcheck_frcvert_xla_th3" default="7" value="7" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_hcnfcheck_frcvert_xla_en" range="0~1" addr="0x171f" mask="27:27" dst="U" desc="reg_ei_caldrt_hcnfcheck_frcvert_xla_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_conf_drtth" range="0~7" addr="0x171f" mask="26:24" dst="U" desc="reg_ei_caldrt_conf_drtth" default="4" value="4" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_conf_absdrtth" range="0~15" addr="0x171f" mask="23:20" dst="U" desc="reg_ei_caldrt_conf_absdrtth" default="11" value="11" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abcheck_mode1" range="0~3" addr="0x171f" mask="19:18" dst="U" desc="reg_ei_caldrt_abcheck_mode1" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abcheck_mode0" range="0~3" addr="0x171f" mask="17:16" dst="U" desc="reg_ei_caldrt_abcheck_mode0" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abcheck_drtth1" range="0~15" addr="0x171f" mask="15:12" dst="U" desc="reg_ei_caldrt_abcheck_drtth1" default="11" value="11" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abcheck_drtth0" range="0~15" addr="0x171f" mask="11:8" dst="U" desc="reg_ei_caldrt_abcheck_drtth0" default="11" value="11" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_abpnchk1_th" range="0~7" addr="0x171f" mask="6:4" dst="U" desc="reg_ei_caldrt_abpnchk1_th" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_abpnchk1_en" range="0~1" addr="0x171f" mask="1:1" dst="U" desc="reg_ei_caldrt_abpnchk1_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_abpnchk0_en" range="0~1" addr="0x171f" mask="0:0" dst="U" desc="reg_ei_caldrt_abpnchk0_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hstrrgchk_drtth" range="0~15" addr="0x1793" mask="31:28" dst="U" desc="reg_ei_caldrt_hstrrgchk_drtth" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hstrrgchk_frcverthrd" range="0~15" addr="0x1793" mask="27:24" dst="U" desc="reg_ei_caldrt_hstrrgchk_frcverthrd" default="8" value="8" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hstrrgchk_mg" range="0~15" addr="0x1793" mask="23:20" dst="U" desc="reg_ei_caldrt_hstrrgchk_mg" default="4" value="4" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_hstrrgchk_1sidnul" range="0~1" addr="0x1793" mask="19:19" dst="U" desc="reg_ei_caldrt_hstrrgchk_1sidnul" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_hstrrgchk_excpcnf" range="0~1" addr="0x1793" mask="18:18" dst="U" desc="reg_ei_caldrt_hstrrgchk_excpcnf" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hstrrgchk_ws" range="0~3" addr="0x1793" mask="17:16" dst="U" desc="reg_ei_caldrt_hstrrgchk_ws" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_hstrrgchk_en" range="0~1" addr="0x1793" mask="15:15" dst="U" desc="reg_ei_caldrt_hstrrgchk_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hpncheck_mode" range="0~3" addr="0x1793" mask="14:13" dst="U" desc="reg_ei_caldrt_hpncheck_mode" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_hpncheck_mute" range="0~1" addr="0x1793" mask="12:12" dst="U" desc="reg_ei_caldrt_hpncheck_mute" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_mg2" range="0~7" addr="0x1793" mask="11:9" dst="U" desc="reg_ei_caldrt_hcnfcheck_mg2" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_mg1" range="0~7" addr="0x1793" mask="8:6" dst="U" desc="reg_ei_caldrt_hcnfcheck_mg1" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_mode" range="0~3" addr="0x1793" mask="5:4" dst="U" desc="reg_ei_caldrt_hcnfcheck_mode" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_caldrt_hcnfcheck_frcvert_xla_th5" range="0~15" addr="0x1793" mask="3:0" dst="U" desc="reg_ei_caldrt_hcnfcheck_frcvert_xla_th5" default="9" value="9" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_amb_detect_mode" range="0~3" addr="0x179e" mask="30:29" dst="U" desc="reg_ei_amb_detect_mode" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_amb_detect_winth" range="0~31" addr="0x179e" mask="28:24" dst="U" desc="reg_ei_amb_detect_winth" default="8" value="8" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_amb_decide_rppth" range="0~7" addr="0x179e" mask="23:21" dst="U" desc="reg_ei_amb_decide_rppth" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_retime_lastmappncnfltchk_drtth" range="0~3" addr="0x179e" mask="20:19" dst="U" desc="reg_ei_retime_lastmappncnfltchk_drtth" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_retime_lastmappncnfltchk_mode" range="0~7" addr="0x179e" mask="18:16" dst="U" desc="reg_ei_retime_lastmappncnfltchk_mode" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_retime_lastmapvertfrcchk_mode" range="0~3" addr="0x179e" mask="15:14" dst="U" desc="reg_ei_retime_lastmapvertfrcchk_mode" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_retime_lastvertfrcchk_mode" range="0~3" addr="0x179e" mask="13:12" dst="U" desc="reg_ei_retime_lastvertfrcchk_mode" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_retime_lastpnchk_drtth" range="0~15" addr="0x179e" mask="11:8" dst="U" desc="reg_ei_retime_lastpnchk_drtth" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_retime_lastpnchk_en" range="0~1" addr="0x179e" mask="6:6" dst="U" desc="reg_ei_retime_lastpnchk_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_retime_mode" range="0~3" addr="0x179e" mask="5:4" dst="U" desc="reg_ei_retime_mode" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_retime_last_en" range="0~1" addr="0x179e" mask="3:3" dst="U" desc="reg_ei_retime_last_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_retime_ab_en" range="0~1" addr="0x179e" mask="2:2" dst="U" desc="reg_ei_retime_ab_en" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_hstrvertfrcchk_en" range="0~1" addr="0x179e" mask="1:1" dst="U" desc="reg_ei_caldrt_hstrvertfrcchk_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_caldrt_hstrrgchk_mode" range="0~1" addr="0x179e" mask="0:0" dst="U" desc="reg_ei_caldrt_hstrrgchk_mode" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_drtdelay2_lmt" range="0~15" addr="0x179f" mask="31:28" dst="U" desc="reg_ei_drtdelay2_lmt" default="13" value="10" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_drtdelay2_notver_lrwin" range="0~3" addr="0x179f" mask="27:26" dst="U" desc="reg_ei_drtdelay2_notver_lrwin" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_drtdelay_mode" range="0~3" addr="0x179f" mask="25:24" dst="U" desc="reg_ei_drtdelay_mode" default="3" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_drtdelay2_mode" range="0~1" addr="0x179f" mask="23:23" dst="U" desc="reg_ei_drtdelay2_mode" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_assign_xla_signm0th" range="0~7" addr="0x179f" mask="22:20" dst="U" desc="reg_ei_assign_xla_signm0th" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_assign_pkbiasvert_en" range="0~1" addr="0x179f" mask="19:19" dst="U" desc="reg_ei_assign_pkbiasvert_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="checkbox" name="reg_ei_assign_xla_en" range="0~1" addr="0x179f" mask="18:18" dst="U" desc="reg_ei_assign_xla_en" default="1" value="1" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_assign_xla_mode" range="0~3" addr="0x179f" mask="17:16" dst="U" desc="reg_ei_assign_xla_mode" default="0" value="3" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_assign_nlfilter_magin" range="0~15" addr="0x179f" mask="15:12" dst="U" desc="reg_ei_assign_nlfilter_magin" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_localsearch_maxrange" range="0~15" addr="0x179f" mask="11:8" dst="U" desc="reg_ei_localsearch_maxrange" default="5" value="5" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_xla_drtth" range="0~15" addr="0x179f" mask="7:4" dst="U" desc="reg_ei_xla_drtth" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_flatmsad_thrd" range="0~15" addr="0x179f" mask="3:0" dst="U" desc="reg_ei_flatmsad_thrd" default="3" value="12" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_int_drt2x_chrdrt_limit" range="0~15" addr="0x17a8" mask="27:24" dst="U" desc="reg_ei_int_drt2x_chrdrt_limit" default="15" value="15" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_int_drt16x_core" range="0~15" addr="0x17a8" mask="23:20" dst="U" desc="reg_ei_int_drt16x_core" default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_int_drtdelay2_notver_cancv" range="0~15" addr="0x17a8" mask="19:16" dst="U" desc="reg_ei_int_drtdelay2_notver_cancv" default="2" value="2" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_int_drtdelay2_notver_sadth" range="0~255" addr="0x17a8" mask="15:8" dst="U" desc="reg_ei_int_drtdelay2_notver_sadth" default="20" value="20" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_ei_int_drtdelay2_vlddrt_sadth" range="0~255" addr="0x17a8" mask="7:0" dst="U" desc="reg_ei_int_drtdelay2_vlddrt_sadth" default="20" value="20" cmd="common:2,0xff000000" />
+</Page>
+<Page tab_title="EI_DRT" layout="8x4">
+<Control ui_type="slider" name="reg_min_pix:debug" range="0~255" addr="0x1779" mask="31:24" dst="U" desc="reg_min_pix: the threshold of min pix of photos, less than threshold the pix do not participate in the monotonic trend calculation." default="22" value="22" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_max_pix:debug" range="0~255" addr="0x1779" mask="23:16" dst="U" desc="reg_max_pix:the threshold of max pix of photos, >threshold the pix do not participate in the monotonic trend calculation." default="203" value="203" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_dmaxmin_thrdma:debug" range="0~255" addr="0x1779" mask="15:8" dst="U" desc="reg_dmaxmin_thrdma: the max pixel and min pixel difference is larger than the value the trend existent." default="50" value="50" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_dmaxmin_thrdmi:debug" range="0~255" addr="0x1779" mask="7:0" dst="U" desc="reg_dmaxmin_thrdmi: the max pixel and min pixel difference is smaller than the value the trend non-existent." default="30" value="30" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_newcorrpix_maxthrd:debug" range="0~255" addr="0x177a" mask="31:24" dst="U" desc="reg_newcorrpix_maxthrd:the new low angle drt sad threshold." default="40" value="35" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_corrpix_diffthrd:debug" range="0~255" addr="0x177a" mask="23:16" dst="U" desc="reg_corrpix_diffthrd: the top and bottom pixel difference is larger than the value, the case may be ultra-low angle." default="60" value="150" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_corrpix_minthrd:debug" range="0~255" addr="0x177a" mask="15:8" dst="U" desc="reg_corrpix_minthrd: the difference of top and bottom pixel is smaller than the value, the drt may be raw drt." default="10" value="10" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_corrpix_maxthrd:debug" range="0~255" addr="0x177a" mask="7:0" dst="U" desc="reg_corrpix_maxthrd: the difference of top and bottom pixel is larger than the value, the drt may be raw drt." default="20" value="20" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_max_pixwave:debug" range="0~31" addr="0x177b" mask="28:24" dst="U" desc="reg_max_pixwave: the wave of the max pix threshold, prevent min pix close to reg_max_pix caused the number between max and min pix zeros." default="0" value="0" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_pix_wave:debug" range="0~31" addr="0x177b" mask="20:16" dst="U" desc="reg_pix_wave: the wave of the max and min pix, the max pixel smaller than the value or the min pixel larger than the value, may be the ultra-low angle case." default="15" value="15" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_maxdrt_thrd:debug" range="0~63" addr="0x177b" mask="13:8" dst="U" desc="reg_maxdrt_thrd: the threshold of the low angle max drt." default="40" value="40" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_wave_thrd:debug" range="0~255" addr="0x177b" mask="7:0" dst="U" desc="reg_wave_thrd:in bilateral cases tow pixel difference is smaller than the value, the trend between the tow pixel not change." default="20" value="20" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_newraw_thrd:debug" range="0~255" addr="0x177c" mask="31:24" dst="U" desc="reg_newraw_thrd: the old drt and new drt transition threshold." default="32" value="32" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_tb_max_thrd:debug" range="0~255" addr="0x177c" mask="23:16" dst="U" desc="reg_tb_max_thrd: the threshold of top and bottom max or min pixel." default="10" value="10" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_diffpix_thrd:debug" range="0~255" addr="0x177c" mask="15:8" dst="U" desc="reg_diffpix_thrd: Max-Min less than the value,the trend is non-existent." default="20" value="20" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_bilt_trendnumt:debug" range="0~63" addr="0x177c" mask="5:0" dst="U" desc="reg_bilt_trendnumt: in bilateral cases the difference between the top and bottom pixel number of the monotonic trend smaller than the value is low angle." default="5" value="5" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_trend_numb:debug" range="0~31" addr="0x177d" mask="28:24" dst="U" desc="reg_trend_numb:in bilateral cases the pixel number of the monotonic trend larger than the value is low angle." default="10" value="10" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_bilt_trendnum:debug" range="0~31" addr="0x177d" mask="20:16" dst="U" desc="reg_bilt_trendnum:in bilateral cases the pixel number of the trend larger than the value is low angle." default="4" value="4" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_unil_trendnumt:debug" range="0~31" addr="0x177d" mask="12:8" dst="U" desc="reg_unil_trendnumt: in unilateral cases the difference between the top and bottom pixel number of the monotonic trend smaller than the value is low angle." default="7" value="7" cmd="common:2,0xff000000" />
+<Control ui_type="slider" name="reg_trend_num:debug" range="0~31" addr="0x177d" mask="4:0" dst="U" desc="reg_trend_num: in unilateral cases the pixel number of the trend larger than the value is ultra-low angle." default="10" value="10" cmd="common:2,0xff000000" />
+</Page>
+</Group>
</Form> \ No newline at end of file
diff --git a/products/mbox/sc2/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml b/products/mbox/sc2/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
index 8e8a68e..ae78500 100644
--- a/products/mbox/sc2/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
+++ b/products/mbox/sc2/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
@@ -1,134 +1,134 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<Form name="FIELD_SHARPNESS_NEW_0" platform="txlx" commands="">
-<Group num="" val="">
-<Page tab_title="FIELD" layout="50x3">
-<Control type="field" tablename="Sharpness_0_CVBS_PAL" tableid="0" port="10,11," sig="1025,1026,1029,1030,1031,1032,1033,1034,1035,1038,1039,1040,1041,1042,1047,1048,1052,1053,1056,1057,1058,1059,1060,1061,1075,1076,1077,1078,1100,1101," trans="0,1," cvbs="0,"/>
-<Control type="field" tablename="Sharpness_0_CVBS_NTSC" tableid="0" port="10,11," sig="1025,1026,1029,1030,1031,1032,1033,1034,1035,1038,1039,1040,1041,1042,1047,1048,1052,1053,1056,1057,1058,1059,1060,1061,1075,1076,1077,1078,1100,1101," trans="0,1," cvbs="1,"/>
-<Control type="field" tablename="Sharpness_0_HD_UPSCALE" tableid="0" port="10,11," sig="0,1027,1028,1034,1036,1037,1043,1044,1045,1046,1049,1050,1051,1054,1055,1062,1063,1064,1065,1066,1067,1068,1069,1070,1071,1072,1073,1074,1078,1079,1080,1081,1082,1083,1084,1085,1086,1087,1088,1089,1090,1091,1092,1102," trans="0,1," cvbs="11,"/>
-<Control type="field" tablename="Sharpness_0_SD_UPSCALE" tableid="0" port="10,11," sig="1025,1026,1029,1030,1031,1032,1033,1034,1035,1038,1039,1040,1041,1042,1047,1048,1052,1053,1056,1057,1058,1059,1060,1061,1075,1076,1077,1078,1100,1101," trans="0,1," cvbs="12,"/>
-<Control type="field" tablename="Sharpness_0_NOSCALE" tableid="0" port="10,11," sig="1025,1026,1027,1028,1029,1030,1031,1032,1033,1034,1035,1036,1037,1038,1039,1040,1041,1042,1043,1044,1045,1046,1047,1048,1049,1050,1051,1052,1053,1054,1055,1056,1057,1058,1059,1060,1061,1062,1063,1064,1065,1066,1067,1068,1069,1070,1071,1072,1073,1074,1075,1076,1077,1078,1079,1080,1081,1082,1083,1084,1085,1086,1087,1088,1089,1090,1091,1092,1100,1101," trans="0,1," cvbs="13,"/>
-<Control type="field" tablename="Sharpness_0_4K" tableid="0" port="10,11," sig="1093,1094," trans="0,1," cvbs="10,"/>
-<Control type="field" tablename="Sharpness_0_SD_SMPTE" tableid="0" port="10,11," sig="1025,1026,1029,1030,1031,1032,1033,1034,1035,1038,1039,1040,1041,1042,1047,1048,1052,1053,1056,1057,1058,1059,1060,1061,1075,1076,1077,1078,1100,1101," trans="0,1," cvbs="14,"/>
-<Control type="field" tablename="Sharpness_0_HD_SMPTE" tableid="0" port="10,11," sig="1027,1028,1036,1037,1043,1044,1045,1046,1049,1050,1051,1054,1055,1062,1063,1064,1065,1066,1067,1068,1069,1070,1071,1072,1073,1074,1078,1079,1080,1081,1082,1083,1084,1085,1086,1087,1088,1089,1090,1091,1092," trans="0,1," cvbs="15,"/>
-<Control type="field" tablename="Sharpness_0_HDR" tableid="0" port="10,11," sig="1095," trans="0,1," cvbs="13,"/>
-<Control type="port" name="TVIN_PORT_TV" value="0" />
-<Control type="port" name="TVIN_PORT_AV1" value="1" />
-<Control type="port" name="TVIN_PORT_AV2" value="2" />
-<Control type="port" name="TVIN_PORT_HDMI1" value="5" />
-<Control type="port" name="TVIN_PORT_HDMI2" value="6" />
-<Control type="port" name="TVIN_PORT_HDMI3" value="7" />
-<Control type="port" name="TVIN_PORT_HDMI4" value="8" />
-<Control type="port" name="TVIN_PORT_MPEG" value="10" />
-<Control type="port" name="TVIN_PORT_DTV" value="11" />
-<Control type="sig" name="TVIN_SIG_FMT_NULL" value="0" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_640x480P_60Hz" value="1025" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x480P_60Hz" value="1026" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_60Hz" value="1027" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_60Hz" value="1028" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x480I_60Hz" value="1029" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x240P_60Hz" value="1030" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x480I_60Hz" value="1031" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x240P_60Hz" value="1032" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x480P_60Hz" value="1033" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_60Hz" value="1034" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x576P_50Hz" value="1035" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_50Hz" value="1036" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_50Hz_A" value="1037" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x576I_50Hz" value="1038" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x288P_50Hz" value="1039" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x576I_50Hz" value="1040" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x288P_50Hz" value="1041" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x576P_50Hz" value="1042" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_50Hz" value="1043" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_24Hz" value="1044" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_25Hz" value="1045" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_30Hz" value="1046" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x480P_60Hz" value="1047" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x576P_60Hz" value="1048" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_50Hz_B" value="1049" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_100Hz" value="1050" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_100Hz" value="1051" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x576P_100Hz" value="1052" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x576I_100Hz" value="1053" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_120Hz" value="1054" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_120Hz" value="1055" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x480P_120Hz" value="1056" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x480I_120Hz" value="1057" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x576P_200Hz" value="1058" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x576I_200Hz" value="1059" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x480P_240Hz" value="1060" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x480I_240Hz" value="1061" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_24Hz" value="1062" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_25Hz" value="1063" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_30Hz" value="1064" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_120Hz" value="1065" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_100Hz" value="1066" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_60Hz_FRAME_PACKING" value="1067" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_50Hz_FRAME_PACKING" value="1068" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_24Hz_FRAME_PACKING" value="1069" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_30Hz_FRAME_PACKING" value="1070" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_60Hz_FRAME_PACKING" value="1071" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_50Hz_FRAME_PACKING" value="1072" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_24Hz_FRAME_PACKING" value="1073" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_30Hz_FRAME_PACKING" value="1074" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_800x600" value="1075" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1024X768" value="1076" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720_400" value="1077" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280_768" value="1078" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280_800" value="1079" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280_960" value="1080" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280X1024" value="1081" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1360_768" value="1082" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1366_768" value="1083" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1600_1200" value="1084" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920_1200" value="1085" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440_900" value="1086" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1400_1050" value="1087" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1680_1050" value="1088" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920X1080I_60HZ_ALTERNATIVE" value="1089" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920X1080I_50HZ_ALTERNATIVE" value="1090" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920X1080P_24HZ_ALTERNATIVE" value="1091" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920X1080P_30HZ_ALTERNATIVE" value="1092" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_3840_2160_00HZ" value="1093" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_4096_2160_00HZ" value="1094" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_HDR" value="1095" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_RESERVE11" value="1096" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_RESERVE12" value="1097" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_RESERVE13" value="1098" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_RESERVE14" value="1099" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x480P_60Hz_FRAME_PACKING" value="1100" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x576P_50Hz_FRAME_PACKING" value="1101" />
-<Control type="sig" name="TVIN_SIG_FMT_HDMI_MAX" value="1102" />
-<Control type="sig" name="TVIN_SIG_FMT_CVBS_NTSC_M" value="1537" />
-<Control type="sig" name="TVIN_SIG_FMT_CVBS_NTSC_443" value="1538" />
-<Control type="sig" name="TVIN_SIG_FMT_CVBS_PAL_I" value="1539" />
-<Control type="sig" name="TVIN_SIG_FMT_CVBS_PAL_M" value="1540" />
-<Control type="sig" name="TVIN_SIG_FMT_CVBS_PAL_60" value="1541" />
-<Control type="sig" name="TVIN_SIG_FMT_CVBS_PAL_CN" value="1542" />
-<Control type="sig" name="TVIN_SIG_FMT_CVBS_SECAM" value="1543" />
-<Control type="sig" name="TVIN_SIG_FMT_BT656IN_576I" value="2049" />
-<Control type="sig" name="TVIN_SIG_FMT_BT656IN_480I" value="2050" />
-<Control type="sig" name="TVIN_SIG_FMT_BT601IN_576I" value="2051" />
-<Control type="sig" name="TVIN_SIG_FMT_BT601IN_480I" value="2052" />
-<Control type="sig" name="TVIN_SIG_FMT_CAMERA_640X480P_30Hz" value="2053" />
-<Control type="sig" name="TVIN_SIG_FMT_CAMERA_800X600P_30Hz" value="2054" />
-<Control type="sig" name="TVIN_SIG_FMT_CAMERA_1024X768P_30HZ" value="2055" />
-<Control type="sig" name="TVIN_SIG_FMT_CAMERA_1920X1080P_30Hz" value="2056" />
-<Control type="sig" name="TVIN_SIG_FMT_CAMERA_1280X720P_30Hz" value="2057" />
-<Control type="sig" name="TVIN_SIG_FMT_MAX" value="2058" />
-<Control type="sig" name="TVIN_SIG_FMT_NULL0" value="3" />
-<Control type="sig" name="TVIN_SIG_FMT_NULL1" value="4" />
-<Control type="sig" name="TVIN_SIG_FMT_NULL2" value="5" />
-<Control type="trans" name="TVIN_TFMT0_2D" value="0" />
-<Control type="trans" name="TVIN_TFMT0_3D" value="1" />
-<Control type="cvbs" name="CVBS_PAL" value="0"/>
-<Control type="cvbs" name="CVBS_NTSC" value="1"/>
-<Control type="cvbs" name="SR_4K_LEVEL" value="10"/>
-<Control type="cvbs" name="SR_HD_UPSCALE_LEVEL" value="11"/>
-<Control type="cvbs" name="SR_SD_UPSCALE_LEVEL" value="12"/>
-<Control type="cvbs" name="SR_NOSCALE_LEVEL" value="13"/>
-<Control type="cvbs" name="SR_SD_4096_LEVEL" value="14"/>
-<Control type="cvbs" name="SR_HD_4096_LEVEL" value="15"/>
-</Page>
-</Group>
+<?xml version="1.0" encoding="UTF-8"?>
+<Form name="FIELD_SHARPNESS_NEW_0" platform="txlx" commands="">
+<Group num="" val="">
+<Page tab_title="FIELD" layout="50x3">
+<Control type="field" tablename="Sharpness_0_CVBS_PAL" tableid="0" port="10,11," sig="1025,1026,1029,1030,1031,1032,1033,1034,1035,1038,1039,1040,1041,1042,1047,1048,1052,1053,1056,1057,1058,1059,1060,1061,1075,1076,1077,1078,1100,1101," trans="0,1," cvbs="0,"/>
+<Control type="field" tablename="Sharpness_0_CVBS_NTSC" tableid="0" port="10,11," sig="1025,1026,1029,1030,1031,1032,1033,1034,1035,1038,1039,1040,1041,1042,1047,1048,1052,1053,1056,1057,1058,1059,1060,1061,1075,1076,1077,1078,1100,1101," trans="0,1," cvbs="1,"/>
+<Control type="field" tablename="Sharpness_0_HD_UPSCALE" tableid="0" port="10,11," sig="0,1027,1028,1034,1036,1037,1043,1044,1045,1046,1049,1050,1051,1054,1055,1062,1063,1064,1065,1066,1067,1068,1069,1070,1071,1072,1073,1074,1078,1079,1080,1081,1082,1083,1084,1085,1086,1087,1088,1089,1090,1091,1092,1102," trans="0,1," cvbs="11,"/>
+<Control type="field" tablename="Sharpness_0_SD_UPSCALE" tableid="0" port="10,11," sig="1025,1026,1029,1030,1031,1032,1033,1034,1035,1038,1039,1040,1041,1042,1047,1048,1052,1053,1056,1057,1058,1059,1060,1061,1075,1076,1077,1078,1100,1101," trans="0,1," cvbs="12,"/>
+<Control type="field" tablename="Sharpness_0_NOSCALE" tableid="0" port="10,11," sig="1025,1026,1027,1028,1029,1030,1031,1032,1033,1034,1035,1036,1037,1038,1039,1040,1041,1042,1043,1044,1045,1046,1047,1048,1049,1050,1051,1052,1053,1054,1055,1056,1057,1058,1059,1060,1061,1062,1063,1064,1065,1066,1067,1068,1069,1070,1071,1072,1073,1074,1075,1076,1077,1078,1079,1080,1081,1082,1083,1084,1085,1086,1087,1088,1089,1090,1091,1092,1100,1101," trans="0,1," cvbs="13,"/>
+<Control type="field" tablename="Sharpness_0_4K" tableid="0" port="10,11," sig="1093,1094," trans="0,1," cvbs="10,"/>
+<Control type="field" tablename="Sharpness_0_SD_SMPTE" tableid="0" port="10,11," sig="1025,1026,1029,1030,1031,1032,1033,1034,1035,1038,1039,1040,1041,1042,1047,1048,1052,1053,1056,1057,1058,1059,1060,1061,1075,1076,1077,1078,1100,1101," trans="0,1," cvbs="14,"/>
+<Control type="field" tablename="Sharpness_0_HD_SMPTE" tableid="0" port="10,11," sig="1027,1028,1036,1037,1043,1044,1045,1046,1049,1050,1051,1054,1055,1062,1063,1064,1065,1066,1067,1068,1069,1070,1071,1072,1073,1074,1078,1079,1080,1081,1082,1083,1084,1085,1086,1087,1088,1089,1090,1091,1092," trans="0,1," cvbs="15,"/>
+<Control type="field" tablename="Sharpness_0_HDR" tableid="0" port="10,11," sig="1095," trans="0,1," cvbs="13,"/>
+<Control type="port" name="TVIN_PORT_TV" value="0" />
+<Control type="port" name="TVIN_PORT_AV1" value="1" />
+<Control type="port" name="TVIN_PORT_AV2" value="2" />
+<Control type="port" name="TVIN_PORT_HDMI1" value="5" />
+<Control type="port" name="TVIN_PORT_HDMI2" value="6" />
+<Control type="port" name="TVIN_PORT_HDMI3" value="7" />
+<Control type="port" name="TVIN_PORT_HDMI4" value="8" />
+<Control type="port" name="TVIN_PORT_MPEG" value="10" />
+<Control type="port" name="TVIN_PORT_DTV" value="11" />
+<Control type="sig" name="TVIN_SIG_FMT_NULL" value="0" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_640x480P_60Hz" value="1025" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x480P_60Hz" value="1026" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_60Hz" value="1027" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_60Hz" value="1028" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x480I_60Hz" value="1029" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x240P_60Hz" value="1030" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x480I_60Hz" value="1031" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x240P_60Hz" value="1032" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x480P_60Hz" value="1033" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_60Hz" value="1034" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x576P_50Hz" value="1035" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_50Hz" value="1036" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_50Hz_A" value="1037" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x576I_50Hz" value="1038" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x288P_50Hz" value="1039" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x576I_50Hz" value="1040" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x288P_50Hz" value="1041" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x576P_50Hz" value="1042" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_50Hz" value="1043" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_24Hz" value="1044" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_25Hz" value="1045" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_30Hz" value="1046" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x480P_60Hz" value="1047" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_2880x576P_60Hz" value="1048" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_50Hz_B" value="1049" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_100Hz" value="1050" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_100Hz" value="1051" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x576P_100Hz" value="1052" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x576I_100Hz" value="1053" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_120Hz" value="1054" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_120Hz" value="1055" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x480P_120Hz" value="1056" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x480I_120Hz" value="1057" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x576P_200Hz" value="1058" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x576I_200Hz" value="1059" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x480P_240Hz" value="1060" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440x480I_240Hz" value="1061" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_24Hz" value="1062" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_25Hz" value="1063" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_30Hz" value="1064" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_120Hz" value="1065" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_100Hz" value="1066" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_60Hz_FRAME_PACKING" value="1067" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_50Hz_FRAME_PACKING" value="1068" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_24Hz_FRAME_PACKING" value="1069" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280x720P_30Hz_FRAME_PACKING" value="1070" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_60Hz_FRAME_PACKING" value="1071" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080I_50Hz_FRAME_PACKING" value="1072" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_24Hz_FRAME_PACKING" value="1073" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920x1080P_30Hz_FRAME_PACKING" value="1074" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_800x600" value="1075" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1024X768" value="1076" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720_400" value="1077" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280_768" value="1078" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280_800" value="1079" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280_960" value="1080" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1280X1024" value="1081" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1360_768" value="1082" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1366_768" value="1083" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1600_1200" value="1084" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920_1200" value="1085" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1440_900" value="1086" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1400_1050" value="1087" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1680_1050" value="1088" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920X1080I_60HZ_ALTERNATIVE" value="1089" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920X1080I_50HZ_ALTERNATIVE" value="1090" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920X1080P_24HZ_ALTERNATIVE" value="1091" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_1920X1080P_30HZ_ALTERNATIVE" value="1092" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_3840_2160_00HZ" value="1093" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_4096_2160_00HZ" value="1094" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_HDR" value="1095" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_RESERVE11" value="1096" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_RESERVE12" value="1097" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_RESERVE13" value="1098" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_RESERVE14" value="1099" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x480P_60Hz_FRAME_PACKING" value="1100" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_720x576P_50Hz_FRAME_PACKING" value="1101" />
+<Control type="sig" name="TVIN_SIG_FMT_HDMI_MAX" value="1102" />
+<Control type="sig" name="TVIN_SIG_FMT_CVBS_NTSC_M" value="1537" />
+<Control type="sig" name="TVIN_SIG_FMT_CVBS_NTSC_443" value="1538" />
+<Control type="sig" name="TVIN_SIG_FMT_CVBS_PAL_I" value="1539" />
+<Control type="sig" name="TVIN_SIG_FMT_CVBS_PAL_M" value="1540" />
+<Control type="sig" name="TVIN_SIG_FMT_CVBS_PAL_60" value="1541" />
+<Control type="sig" name="TVIN_SIG_FMT_CVBS_PAL_CN" value="1542" />
+<Control type="sig" name="TVIN_SIG_FMT_CVBS_SECAM" value="1543" />
+<Control type="sig" name="TVIN_SIG_FMT_BT656IN_576I" value="2049" />
+<Control type="sig" name="TVIN_SIG_FMT_BT656IN_480I" value="2050" />
+<Control type="sig" name="TVIN_SIG_FMT_BT601IN_576I" value="2051" />
+<Control type="sig" name="TVIN_SIG_FMT_BT601IN_480I" value="2052" />
+<Control type="sig" name="TVIN_SIG_FMT_CAMERA_640X480P_30Hz" value="2053" />
+<Control type="sig" name="TVIN_SIG_FMT_CAMERA_800X600P_30Hz" value="2054" />
+<Control type="sig" name="TVIN_SIG_FMT_CAMERA_1024X768P_30HZ" value="2055" />
+<Control type="sig" name="TVIN_SIG_FMT_CAMERA_1920X1080P_30Hz" value="2056" />
+<Control type="sig" name="TVIN_SIG_FMT_CAMERA_1280X720P_30Hz" value="2057" />
+<Control type="sig" name="TVIN_SIG_FMT_MAX" value="2058" />
+<Control type="sig" name="TVIN_SIG_FMT_NULL0" value="3" />
+<Control type="sig" name="TVIN_SIG_FMT_NULL1" value="4" />
+<Control type="sig" name="TVIN_SIG_FMT_NULL2" value="5" />
+<Control type="trans" name="TVIN_TFMT0_2D" value="0" />
+<Control type="trans" name="TVIN_TFMT0_3D" value="1" />
+<Control type="cvbs" name="CVBS_PAL" value="0"/>
+<Control type="cvbs" name="CVBS_NTSC" value="1"/>
+<Control type="cvbs" name="SR_4K_LEVEL" value="10"/>
+<Control type="cvbs" name="SR_HD_UPSCALE_LEVEL" value="11"/>
+<Control type="cvbs" name="SR_SD_UPSCALE_LEVEL" value="12"/>
+<Control type="cvbs" name="SR_NOSCALE_LEVEL" value="13"/>
+<Control type="cvbs" name="SR_SD_4096_LEVEL" value="14"/>
+<Control type="cvbs" name="SR_HD_4096_LEVEL" value="15"/>
+</Page>
+</Group>
</Form> \ No newline at end of file
diff --git a/products/mbox/sm1/device.mk b/products/mbox/sm1/device.mk
index 151b213..151b213 100755..100644
--- a/products/mbox/sm1/device.mk
+++ b/products/mbox/sm1/device.mk
diff --git a/products/mbox/sm1/vendor_prop.mk b/products/mbox/sm1/vendor_prop.mk
index 5d90e41..5d90e41 100755..100644
--- a/products/mbox/sm1/vendor_prop.mk
+++ b/products/mbox/sm1/vendor_prop.mk
diff --git a/products/tablet/product_tablet.mk b/products/tablet/product_tablet.mk
index ced09d6..ced09d6 100755..100644
--- a/products/tablet/product_tablet.mk
+++ b/products/tablet/product_tablet.mk
diff --git a/products/tv/init.amlogic.system.rc b/products/tv/init.amlogic.system.rc
index fd3d677..fd3d677 100755..100644
--- a/products/tv/init.amlogic.system.rc
+++ b/products/tv/init.amlogic.system.rc
diff --git a/products/tv/product_tv.mk b/products/tv/product_tv.mk
index f5d76b4..f5d76b4 100755..100644
--- a/products/tv/product_tv.mk
+++ b/products/tv/product_tv.mk
diff --git a/products/tv/tl1/device.mk b/products/tv/tl1/device.mk
index 5e61e53..5e61e53 100755..100644
--- a/products/tv/tl1/device.mk
+++ b/products/tv/tl1/device.mk
diff --git a/products/tv/tl1/files/DroidTvSettings/AndroidManifest-common.xml b/products/tv/tl1/files/DroidTvSettings/AndroidManifest-common.xml
index d3a572e..d3a572e 100755..100644
--- a/products/tv/tl1/files/DroidTvSettings/AndroidManifest-common.xml
+++ b/products/tv/tl1/files/DroidTvSettings/AndroidManifest-common.xml
diff --git a/products/tv/tl1/files/MboxLauncher2/AndroidManifest-common.xml b/products/tv/tl1/files/MboxLauncher2/AndroidManifest-common.xml
index d344209..d344209 100755..100644
--- a/products/tv/tl1/files/MboxLauncher2/AndroidManifest-common.xml
+++ b/products/tv/tl1/files/MboxLauncher2/AndroidManifest-common.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_16_9.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_16_9.xml
index ad6c51e..ad6c51e 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_16_9.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_16_9.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_4_3.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_4_3.xml
index 0f08f41..0f08f41 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_4_3.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_4_3.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CAPTION.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CAPTION.xml
index c5df729..c5df729 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CAPTION.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CAPTION.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP.xml
index 787bcde..787bcde 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP_FULL.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP_FULL.xml
index 20d1fb7..20d1fb7 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP_FULL.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_CROP_FULL.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_FULL.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_FULL.xml
index f4a67fd..f4a67fd 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_FULL.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_FULL.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_MOVIE.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_MOVIE.xml
index b8ac4d6..b8ac4d6 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_MOVIE.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_MOVIE.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NORMAL.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NORMAL.xml
index 1922434..1922434 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NORMAL.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NORMAL.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NOSCALEUP.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NOSCALEUP.xml
index ee99a5f..ee99a5f 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NOSCALEUP.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_NOSCALEUP.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_PERSON.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_PERSON.xml
index ba1cdc3..ba1cdc3 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_PERSON.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_PERSON.xml
diff --git a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_ZOOM.xml b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_ZOOM.xml
index 470e8f3..470e8f3 100755..100644
--- a/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_ZOOM.xml
+++ b/products/tv/tl1/files/PQ/overscan_picturemode_xml/OVERSCAN_ZOOM.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_NTSC.xml
index b4987f6..b4987f6 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_PAL.xml
index 0731ec3..0731ec3 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_ATV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_NTSC.xml
index 888543e..888543e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_PAL.xml
index 4baee6e..4baee6e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_Secam.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_Secam.xml
index e1614de..e1614de 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_Secam.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_AV_Secam.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_DTV.xml
index 259a853..259a853 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_HDMI.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_HDMI.xml
index 050da43..050da43 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_HDMI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_HDMI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_MPEG.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_MPEG.xml
index c667293..c667293 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_MPEG.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/BlackExtensionBlueStretch_MPEG.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/FIELD_BLACK_BLUE_NEW.xml b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/FIELD_BLACK_BLUE_NEW.xml
index ef11ca2..ef11ca2 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/FIELD_BLACK_BLUE_NEW.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Blackstretch_Bluestretch/FIELD_BLACK_BLUE_NEW.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_NTSC.xml
index d527794..d527794 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_PAL.xml
index cf14ccf..cf14ccf 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_ATV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_NTSC.xml
index 4a3971e..4a3971e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_PAL.xml
index 688f9cb..688f9cb 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_SECAM.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_SECAM.xml
index d8d6dbe..d8d6dbe 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_SECAM.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_AV_SECAM.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_DTV.xml
index 9f88ea1..9f88ea1 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDMI.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDMI.xml
index 7d5f4e6..7d5f4e6 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDMI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDMI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml
index 1b770b1..1b770b1 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_HDR.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml
index 50fb608..50fb608 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/Bri_Con_MPEG.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml
index 3ac25be..3ac25be 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Brightness_Contrast/FIELD_BRI_CON_NEW.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_ATV.xml b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_ATV.xml
index d9341bf..d9341bf 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_ATV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_ATV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_AV.xml b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_AV.xml
index 408d20c..408d20c 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_AV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_AV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_DTV.xml
index 160d5da..160d5da 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDMI.xml b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDMI.xml
index 89b0471..89b0471 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDMI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDMI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDR.xml b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDR.xml
index f860862..f860862 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDR.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_HDR.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml
index d0c205e..d0c205e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml
index 0ccc5d1..0ccc5d1 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CM2/CM2_MPEG_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CM2/FIELD_CM2.xml b/products/tv/tl1/files/PQ/pq_xml/CM2/FIELD_CM2.xml
index c164b61..c164b61 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CM2/FIELD_CM2.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CM2/FIELD_CM2.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_443.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_443.xml
index e37af95..e37af95 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_443.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_443.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_M.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_M.xml
index cf85061..cf85061 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_M.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_NTSC_M.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_60.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_60.xml
index 4bc6cff..4bc6cff 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_60.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_60.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_CN.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_CN.xml
index b292eec..b292eec 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_CN.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_CN.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_I.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_I.xml
index e0fdb9d..e0fdb9d 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_I.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_I.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_M.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_M.xml
index 4036993..4036993 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_M.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_PAL_M.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_SECAM.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_SECAM.xml
index 23d3f4e..23d3f4e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_SECAM.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_ATV_SECAM.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_443.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_443.xml
index 89f78f0..89f78f0 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_443.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_443.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_M.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_M.xml
index 2ce30f4..2ce30f4 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_M.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_NTSC_M.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_60.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_60.xml
index 59808ce..59808ce 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_60.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_60.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_CN.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_CN.xml
index 47cfeee..47cfeee 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_CN.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_CN.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_I.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_I.xml
index b1e0c1b..b1e0c1b 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_I.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_I.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_M.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_M.xml
index e50c34e..e50c34e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_M.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_PAL_M.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_SECAM.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_SECAM.xml
index 746556c..746556c 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_SECAM.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/CVD2NEW_Settings_AV_SECAM.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/field_CVD2NEW_Setting.xml b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/field_CVD2NEW_Setting.xml
index b0155e9..b0155e9 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/field_CVD2NEW_Setting.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/CVD2NEW/field_CVD2NEW_Setting.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DI/DI_ATV.xml b/products/tv/tl1/files/PQ/pq_xml/DI/DI_ATV.xml
index 533a0fc..533a0fc 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DI/DI_ATV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DI/DI_ATV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DI/DI_AV.xml b/products/tv/tl1/files/PQ/pq_xml/DI/DI_AV.xml
index 875850a..875850a 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DI/DI_AV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DI/DI_AV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DI/DI_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/DI/DI_DTV.xml
index 3682d0c..3682d0c 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DI/DI_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DI/DI_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DI/DI_HDMI.xml b/products/tv/tl1/files/PQ/pq_xml/DI/DI_HDMI.xml
index 9d9978f..9d9978f 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DI/DI_HDMI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DI/DI_HDMI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DI/DI_MPEG.xml b/products/tv/tl1/files/PQ/pq_xml/DI/DI_MPEG.xml
index ad82e7e..ad82e7e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DI/DI_MPEG.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DI/DI_MPEG.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DI/FIELD_DI.xml b/products/tv/tl1/files/PQ/pq_xml/DI/FIELD_DI.xml
index 6d12b61..6d12b61 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DI/FIELD_DI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DI/FIELD_DI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_ATV.xml b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_ATV.xml
index 5e27031..5e27031 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_ATV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_ATV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_AV.xml b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_AV.xml
index 114fdfd..114fdfd 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_AV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_AV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_DTV.xml
index 7118d59..7118d59 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDMI.xml b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDMI.xml
index 6908652..6908652 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDMI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDMI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDR.xml b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDR.xml
index ca1eec9..ca1eec9 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDR.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_HDR.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_MPEG.xml b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_MPEG.xml
index b84875f..b84875f 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_MPEG.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DNLP/DNLP_MPEG.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/DNLP/FIELD_DNLP.xml b/products/tv/tl1/files/PQ/pq_xml/DNLP/FIELD_DNLP.xml
index 621bc06..621bc06 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/DNLP/FIELD_DNLP.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/DNLP/FIELD_DNLP.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_ATV.xml b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_ATV.xml
index 90ded84..90ded84 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_ATV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_ATV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_AV.xml b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_AV.xml
index 5109d01..5109d01 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_AV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_AV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_DTV.xml
index 329e4ea..329e4ea 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_I.xml b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_I.xml
index a8cf695..a8cf695 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_I.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_I.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_P.xml b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_P.xml
index cdd18b5..cdd18b5 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_P.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_HDMI_P.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml
index 8617d72..8617d72 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Deblock/DEBLOCK_MPEG.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml b/products/tv/tl1/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml
index 9d91dcd..9d91dcd 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Deblock/FIELD_DEBLOCK.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_ATV.xml b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_ATV.xml
index be0df49..be0df49 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_ATV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_ATV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_AV.xml b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_AV.xml
index 421b334..421b334 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_AV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_AV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_DTV.xml
index 584e722..584e722 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_HDMI.xml b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_HDMI.xml
index 6557d73..6557d73 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_HDMI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_HDMI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml
index 5134b0f..5134b0f 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Demosquito/Demosquito_MPEG.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml b/products/tv/tl1/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml
index 7e5e2df..7e5e2df 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Demosquito/FIELD_DEMOSQUITO.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/FIELD_LocalContrast.xml b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/FIELD_LocalContrast.xml
index 63b9d19..63b9d19 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/FIELD_LocalContrast.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/FIELD_LocalContrast.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_ATV.xml b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_ATV.xml
index 8bec130..8bec130 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_ATV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_ATV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_AV.xml b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_AV.xml
index b165da9..b165da9 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_AV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_AV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_DTV.xml
index 41e4316..41e4316 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDMI.xml b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDMI.xml
index 01e9370..01e9370 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDMI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDMI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDR.xml b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDR.xml
index 5ece1e4..5ece1e4 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDR.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_HDR.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_MPEG.xml b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_MPEG.xml
index 479c8d6..479c8d6 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_MPEG.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/LocalContrast/LC_MPEG.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml
index a92bc45..a92bc45 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/FIELD_MCDI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_ATV.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_ATV.xml
index 6200980..6200980 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_ATV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_ATV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_AV.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_AV.xml
index 426974d..426974d 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_AV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_AV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_HD.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_HD.xml
index 1a77e99..1a77e99 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_SD.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_SD.xml
index 51a2d55..51a2d55 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_DTV_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_HD.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_HD.xml
index 5c48019..5c48019 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_SD.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_SD.xml
index 6bba893..6bba893 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_HDMI_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml
index 24860ca..24860ca 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml
index d41232f..d41232f 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/MCDI/MCDI_MPEG_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/FIELD_NR.xml b/products/tv/tl1/files/PQ/pq_xml/NR/FIELD_NR.xml
index 955a336..955a336 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/FIELD_NR.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/FIELD_NR.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_ATV.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_ATV.xml
index 400920e..400920e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_ATV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_ATV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_AV.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_AV.xml
index a3567e2..a3567e2 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_AV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_AV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_HD.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_HD.xml
index 329841b..329841b 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_SD.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_SD.xml
index 92b5f1f..92b5f1f 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_DTV_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_I.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_I.xml
index 6eab7c7..6eab7c7 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_I.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_I.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_P.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_P.xml
index 6d30990..6d30990 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_P.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_HD_P.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_I.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_I.xml
index 94e0567..94e0567 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_I.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_I.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_P.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_P.xml
index c7048db..c7048db 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_P.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_HDMI_SD_P.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_HD.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_HD.xml
index e1b166e..e1b166e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_SD.xml b/products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_SD.xml
index 4ba66c7..4ba66c7 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/NR/NR_MPEG_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml
index 674baec..674baec 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/FIELD_SATURATION_NEW.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_NTSC.xml
index 3d4d57e..3d4d57e 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_PAL.xml
index d938e66..d938e66 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_ATV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_NTSC.xml
index 38b20f6..38b20f6 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_PAL.xml
index e4b2bb6..e4b2bb6 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_SECAM.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_SECAM.xml
index 7aa2946..7aa2946 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_SECAM.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_AV_SECAM.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_DTV.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_DTV.xml
index 2a93a80..2a93a80 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_DTV.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_DTV.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_HDMI.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_HDMI.xml
index 703d613..703d613 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_HDMI.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_HDMI.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml
index 91fd72a..91fd72a 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Saturation/Saturation_MPEG.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
index f69e734..f69e734 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/FIELD_SHARPNESS_NEW_0.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_NTSC.xml
index a3651b5..a3651b5 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_PAL.xml
index 248f667..248f667 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_ATV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_NTSC.xml
index 8ec7d64..8ec7d64 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_PAL.xml
index 4760578..4760578 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_AV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_HD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_HD.xml
index 528bcbe..528bcbe 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_SD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_SD.xml
index 97246ce..97246ce 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_DTV_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_4K.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_4K.xml
index bc2aa90..bc2aa90 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_4K.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_4K.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_HD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_HD.xml
index f4a51ec..f4a51ec 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_SD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_SD.xml
index 286bf34..286bf34 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDMI_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml
index d75b82b..d75b82b 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_HDR.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml
index ccd2f23..ccd2f23 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_4K.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml
index 8594903..8594903 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml
index 0a93bf9..0a93bf9 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness0/Sharpness_0_MPEG_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/FIELD_SHARPNESS_NEW_1.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/FIELD_SHARPNESS_NEW_1.xml
index 80a0442..80a0442 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/FIELD_SHARPNESS_NEW_1.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/FIELD_SHARPNESS_NEW_1.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_NTSC.xml
index ac9fa83..ac9fa83 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_PAL.xml
index 3ff4de3..3ff4de3 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_ATV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_NTSC.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_NTSC.xml
index 07f6682..07f6682 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_NTSC.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_NTSC.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_PAL.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_PAL.xml
index 579c833..579c833 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_PAL.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_AV_PAL.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_HD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_HD.xml
index 35d41fd..35d41fd 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_SD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_SD.xml
index 26caa06..26caa06 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_DTV_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_4K.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_4K.xml
index e5a5ca7..e5a5ca7 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_4K.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_4K.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_HD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_HD.xml
index 796a296..796a296 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_SD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_SD.xml
index 8135124..8135124 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDMI_SD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDR.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDR.xml
index 2c333f7..2c333f7 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDR.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_HDR.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_4K.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_4K.xml
index 55a5a03..55a5a03 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_4K.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_4K.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_HD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_HD.xml
index 004d380..004d380 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_HD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_HD.xml
diff --git a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_SD.xml b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_SD.xml
index 4a19436..4a19436 100755..100644
--- a/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_SD.xml
+++ b/products/tv/tl1/files/PQ/pq_xml/Sharpness1/Sharpness_1_MPEG_SD.xml
diff --git a/products/tv/tl1/files/hbg_ble/ble/b01_8.0/system/etc/remote.txt b/products/tv/tl1/files/hbg_ble/ble/b01_8.0/system/etc/remote.txt
index c962388..c962388 100755..100644
--- a/products/tv/tl1/files/hbg_ble/ble/b01_8.0/system/etc/remote.txt
+++ b/products/tv/tl1/files/hbg_ble/ble/b01_8.0/system/etc/remote.txt
diff --git a/products/tv/tl1/files/hbg_ble/sei/init.hbg.remote.rc b/products/tv/tl1/files/hbg_ble/sei/init.hbg.remote.rc
index 84fd432..84fd432 100755..100644
--- a/products/tv/tl1/files/hbg_ble/sei/init.hbg.remote.rc
+++ b/products/tv/tl1/files/hbg_ble/sei/init.hbg.remote.rc
diff --git a/products/tv/tl1/files/media_profiles.xml b/products/tv/tl1/files/media_profiles.xml
index 16e8eb8..16e8eb8 100755..100644
--- a/products/tv/tl1/files/media_profiles.xml
+++ b/products/tv/tl1/files/media_profiles.xml
diff --git a/products/tv/tl1/files/media_profiles_V1_0.xml b/products/tv/tl1/files/media_profiles_V1_0.xml
index 16e8eb8..16e8eb8 100755..100644
--- a/products/tv/tl1/files/media_profiles_V1_0.xml
+++ b/products/tv/tl1/files/media_profiles_V1_0.xml
diff --git a/products/tv/tl1/files/tv/tvconfig/dtvkit/config.xml b/products/tv/tl1/files/tv/tvconfig/dtvkit/config.xml
index c41c8a9..c41c8a9 100755..100644
--- a/products/tv/tl1/files/tv/tvconfig/dtvkit/config.xml
+++ b/products/tv/tl1/files/tv/tvconfig/dtvkit/config.xml
diff --git a/products/tv/tl1/files/tv/tvconfig/tv_default.xml b/products/tv/tl1/files/tv/tvconfig/tv_default.xml
index bd3e3ae..bd3e3ae 100755..100644
--- a/products/tv/tl1/files/tv/tvconfig/tv_default.xml
+++ b/products/tv/tl1/files/tv/tvconfig/tv_default.xml
diff --git a/products/tv/tl1/files/tv/tvconfig/tv_rrt_define.xml b/products/tv/tl1/files/tv/tvconfig/tv_rrt_define.xml
index 96151fb..96151fb 100755..100644
--- a/products/tv/tl1/files/tv/tvconfig/tv_rrt_define.xml
+++ b/products/tv/tl1/files/tv/tvconfig/tv_rrt_define.xml
diff --git a/products/tv/tl1/upgrade/aml_emmc_logic_table.xml b/products/tv/tl1/upgrade/aml_emmc_logic_table.xml
index e4de50e..e4de50e 100755..100644
--- a/products/tv/tl1/upgrade/aml_emmc_logic_table.xml
+++ b/products/tv/tl1/upgrade/aml_emmc_logic_table.xml
diff --git a/products/tv/tm2/device.mk b/products/tv/tm2/device.mk
index 270274a..270274a 100755..100644
--- a/products/tv/tm2/device.mk
+++ b/products/tv/tm2/device.mk
diff --git a/products/tv/tm2/files/media_profiles.xml b/products/tv/tm2/files/media_profiles.xml
index 16e8eb8..16e8eb8 100755..100644
--- a/products/tv/tm2/files/media_profiles.xml
+++ b/products/tv/tm2/files/media_profiles.xml
diff --git a/products/tv/tm2/files/media_profiles_V1_0.xml b/products/tv/tm2/files/media_profiles_V1_0.xml
index 16e8eb8..16e8eb8 100755..100644
--- a/products/tv/tm2/files/media_profiles_V1_0.xml
+++ b/products/tv/tm2/files/media_profiles_V1_0.xml
diff --git a/products/tv/tm2/files/sadConfig.xml b/products/tv/tm2/files/sadConfig.xml
index b168a41..b168a41 100755..100644
--- a/products/tv/tm2/files/sadConfig.xml
+++ b/products/tv/tm2/files/sadConfig.xml
diff --git a/products/tv/tm2/files/sadConfig_sample.xml b/products/tv/tm2/files/sadConfig_sample.xml
index 2e7691c..2e7691c 100755..100644
--- a/products/tv/tm2/files/sadConfig_sample.xml
+++ b/products/tv/tm2/files/sadConfig_sample.xml
diff --git a/products/tv/tm2/files/tv/tvconfig/dtvkit/config.xml b/products/tv/tm2/files/tv/tvconfig/dtvkit/config.xml
index c41c8a9..c41c8a9 100755..100644
--- a/products/tv/tm2/files/tv/tvconfig/dtvkit/config.xml
+++ b/products/tv/tm2/files/tv/tvconfig/dtvkit/config.xml
diff --git a/products/tv/tm2/files/tv/tvconfig/tv_default.xml b/products/tv/tm2/files/tv/tvconfig/tv_default.xml
index bd3e3ae..bd3e3ae 100755..100644
--- a/products/tv/tm2/files/tv/tvconfig/tv_default.xml
+++ b/products/tv/tm2/files/tv/tvconfig/tv_default.xml
diff --git a/products/tv/tm2/files/tv/tvconfig/tv_rrt_define.xml b/products/tv/tm2/files/tv/tvconfig/tv_rrt_define.xml
index 96151fb..96151fb 100755..100644
--- a/products/tv/tm2/files/tv/tvconfig/tv_rrt_define.xml
+++ b/products/tv/tm2/files/tv/tvconfig/tv_rrt_define.xml
diff --git a/products/tv/tm2/upgrade/aml_emmc_logic_table.xml b/products/tv/tm2/upgrade/aml_emmc_logic_table.xml
index e4de50e..e4de50e 100755..100644
--- a/products/tv/tm2/upgrade/aml_emmc_logic_table.xml
+++ b/products/tv/tm2/upgrade/aml_emmc_logic_table.xml
diff --git a/soft_afbc/soft_afbc_modules.mk b/soft_afbc/soft_afbc_modules.mk
index 82f9be3..82f9be3 100755..100644
--- a/soft_afbc/soft_afbc_modules.mk
+++ b/soft_afbc/soft_afbc_modules.mk
diff --git a/soong_config/Android.bp b/soong_config/Android.bp
new file mode 100644
index 0000000..6519a8d
--- a/dev/null
+++ b/soong_config/Android.bp
@@ -0,0 +1,104 @@
+soong_config_module_type {
+ name: "amlogic_vendorconfig_cc_defaults",
+ module_type: "cc_defaults",
+ config_namespace: "amlogic_vendorconfig",
+ variables: [
+ "board_platform",
+ "hwc_dynamic_switch_viu",
+ "build_livetv",
+ "build_alsa_audio",
+ "support_hdmiin",
+ "custom_mediaserver_extensions",
+ "ddlib_from_customer",
+ "build_livetv_from_source",
+ "netflix_mgkid",
+ "tdk_version",
+ "enable_ta_sign",
+ "enable_ta_encrypt",
+ "omx_with_optee_tvp",
+ "widevine_oemcrypto_level",
+ "with_playready_drm",
+ "playready_tvp"
+ ],
+ properties: [
+ "cflags", "srcs"
+ ],
+}
+
+soong_config_string_variable {
+ name: "board_platform",
+ values: [
+ "meson8",
+ "gxbaby",
+ "gxtvbb",
+ "gxl",
+ "g12a",
+ "g12b"
+ ],
+}
+
+soong_config_string_variable {
+ name: "build_alsa_audio",
+ values: [
+ "tiny",
+ "legacy",
+ ],
+}
+
+soong_config_string_variable {
+ name: "tdk_version",
+ values: [
+ "TDK24",
+ "TDK38",
+ ],
+}
+
+soong_config_bool_variable {
+ name: "hwc_dynamic_switch_viu",
+}
+
+soong_config_bool_variable {
+ name: "build_livetv",
+}
+
+soong_config_bool_variable {
+ name: "support_hdmiin",
+}
+soong_config_bool_variable {
+ name: "custom_mediaserver_extensions",
+}
+
+
+soong_config_bool_variable {
+ name: "ddlib_from_customer",
+}
+
+soong_config_bool_variable {
+ name: "build_livetv_from_source",
+}
+
+soong_config_bool_variable {
+ name: "netflix_mgkid",
+}
+
+
+soong_config_bool_variable {
+ name: "enable_ta_sign",
+}
+
+soong_config_bool_variable {
+ name: "enable_ta_encrypt",
+}
+
+soong_config_bool_variable {
+ name: "omx_with_optee_tvp",
+}
+soong_config_bool_variable {
+ name: "widevine_oemcrypto_level",
+}
+soong_config_bool_variable {
+ name: "with_playready_drm",
+}
+soong_config_bool_variable {
+ name: "playready_tvp",
+}
diff --git a/soong_config/soong_config.mk b/soong_config/soong_config.mk
new file mode 100644
index 0000000..106254c
--- a/dev/null
+++ b/soong_config/soong_config.mk
@@ -0,0 +1,62 @@
+SOONG_CONFIG_NAMESPACES += amlogic_vendorconfig
+
+
+#for system control
+SOONG_CONFIG_amlogic_vendorconfig += board_platform
+SOONG_CONFIG_amlogic_vendorconfig_board_platform := $(TARGET_BOARD_PLATFORM)
+SOONG_CONFIG_amlogic_vendorconfig += hwc_dynamic_switch_viu
+SOONG_CONFIG_amlogic_vendorconfig_hwc_dynamic_switch_viu := $(HWC_DYNAMIC_SWITCH_VIU)
+SOONG_CONFIG_amlogic_vendorconfig += build_livetv
+SOONG_CONFIG_amlogic_vendorconfig_build_livetv := $(TARGET_BUILD_LIVETV)
+
+# for alsa library
+SOONG_CONFIG_amlogic_vendorconfig += build_alsa_audio
+SOONG_CONFIG_amlogic_vendorconfig_build_alsa_audio := $(BOARD_ALSA_AUDIO)
+
+
+#SUPPORT_HDMIIN := true
+SOONG_CONFIG_amlogic_vendorconfig += support_hdmiin
+SOONG_CONFIG_amlogic_vendorconfig_support_hdmiin := $(SUPPORT_HDMIIN)
+
+
+SOONG_CONFIG_amlogic_vendorconfig += custom_mediaserver_extensions
+SOONG_CONFIG_amlogic_vendorconfig_custom_mediaserver_extensions := $(BOARD_USE_CUSTOM_MEDIASERVEREXTENSIONS)
+
+
+
+SOONG_CONFIG_amlogic_vendorconfig += ddlib_from_customer
+SOONG_CONFIG_amlogic_vendorconfig_ddlib_from_customer := $(TARGET_DDLIB_BUILT_FROM_CUSTOMER)
+
+SOONG_CONFIG_amlogic_vendorconfig += build_livetv_from_source
+SOONG_CONFIG_amlogic_vendorconfig_build_livetv_from_source := $(TARGET_LIVETV_BUILT_FROM_SOURCE)
+
+
+SOONG_CONFIG_amlogic_vendorconfig += netflix_mgkid
+SOONG_CONFIG_amlogic_vendorconfig_netflix_mgkid := $(TARGET_BUILD_NETFLIX_MGKID)
+
+# for ta sign related
+
+ifeq ($(PLATFORM_TDK_VERSION),)
+PLATFORM_TDK_VERSION := 24
+endif
+SOONG_CONFIG_amlogic_vendorconfig += tdk_version
+SOONG_CONFIG_amlogic_vendorconfig_tdk_version := TDK$(PLATFORM_TDK_VERSION)
+
+SOONG_CONFIG_amlogic_vendorconfig += enable_ta_sign
+SOONG_CONFIG_amlogic_vendorconfig_enable_ta_sign := $(TARGET_ENABLE_TA_SIGN)
+
+SOONG_CONFIG_amlogic_vendorconfig += enable_ta_encrypt
+SOONG_CONFIG_amlogic_vendorconfig_enable_ta_encrypt := $(TARGET_ENABLE_TA_ENCRYPT)
+
+SOONG_CONFIG_amlogic_vendorconfig += omx_with_optee_tvp
+SOONG_CONFIG_amlogic_vendorconfig_omx_with_optee_tvp := $(BOARD_OMX_WITH_OPTEE_TVP)
+
+SOONG_CONFIG_amlogic_vendorconfig += widevine_oemcrypto_level
+SOONG_CONFIG_amlogic_vendorconfig_widevine_oemcrypto_level := $(BOARD_WIDEVINE_OEMCRYPTO_LEVEL)
+
+SOONG_CONFIG_amlogic_vendorconfig += with_playready_drm
+SOONG_CONFIG_amlogic_vendorconfig_with_playready_drm := $(BUILD_WITH_PLAYREADY_DRM)
+
+SOONG_CONFIG_amlogic_vendorconfig += playready_tvp
+SOONG_CONFIG_amlogic_vendorconfig_playready_tvp := $(BOARD_PLAYREADY_TVP)
+
diff --git a/thermal_info_config.json b/thermal_info_config.json
index 53f38f4..53f38f4 100755..100644
--- a/thermal_info_config.json
+++ b/thermal_info_config.json