summaryrefslogtreecommitdiff
Diffstat
-rw-r--r--Image.gz23819
-rw-r--r--curie.dtb135
-rw-r--r--lib/mali.ko1602
-rw-r--r--lib/modules/8189es.ko10765
-rw-r--r--lib/modules/8822bu.ko13746
-rw-r--r--lib/modules/amvdec_avs.ko839
-rw-r--r--lib/modules/amvdec_h264.ko660
-rw-r--r--lib/modules/amvdec_h264_4k2k.ko422
-rw-r--r--lib/modules/amvdec_h264mvc.ko416
-rw-r--r--lib/modules/amvdec_h265.ko1760
-rw-r--r--lib/modules/amvdec_mh264.ko2125
-rw-r--r--lib/modules/amvdec_mjpeg.ko364
-rw-r--r--lib/modules/amvdec_mmjpeg.ko355
-rw-r--r--lib/modules/amvdec_mmpeg4.ko317
-rw-r--r--lib/modules/amvdec_mpeg12.ko382
-rw-r--r--lib/modules/amvdec_mpeg4.ko386
-rw-r--r--lib/modules/amvdec_real.ko306
-rw-r--r--lib/modules/amvdec_vc1.ko385
-rw-r--r--lib/modules/amvdec_vp9.ko1599
-rw-r--r--lib/modules/audio_data.ko243
-rw-r--r--lib/modules/bcmdhd.ko3608
-rw-r--r--lib/modules/ddr_window_64.ko263
-rw-r--r--lib/modules/decoder_common.ko1899
-rw-r--r--lib/modules/dhd.ko15409
-rw-r--r--lib/modules/encoder.ko730
-rw-r--r--lib/modules/firmware.ko550
-rw-r--r--lib/modules/media_clock.ko765
-rw-r--r--lib/modules/modules.dep25
-rw-r--r--lib/modules/stream_input.ko2606
29 files changed, 86481 insertions, 0 deletions
diff --git a/lib/modules/encoder.ko b/lib/modules/encoder.ko
new file mode 100644
index 0000000..24f7d88
--- a/dev/null
+++ b/lib/modules/encoder.ko
@@ -0,0 +1,730 @@
+ELF
+A**
+A**
+A 
+
+S'|`9$
+S`}S|SuStSH
+*B
+
+ 
+A **RV}R
+
+
+@B@?
+
+
+
+@@@
+
+@ @Ң@@
+
+
+
+
+
+
+RFA@R 
+
+
+
+
+
+
+
+S
+
+
+
+
+  !""##$$%%%&&&'''
+  !""##$$%%%&&&'''
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+@A
+
+
+@A
+
+
+ print qp table
+
+ nr_mode option
+
+ no_timeout flag for process request
+
+ encode_print_level
+
+ clock_level
+
+ fixed_slice_cfg
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+s8
+u8
+u16
+s32
+u32
+s64
+u64
+
+
+
+5
+
+
+
++
+U'
+1
+
+
+
+
+
+2
+2
+2
+2
+
+
+]
+]
+^
+s
+
+)d
+
+
+
+
++
+
+C
+~
+G
+HҢ
+K
+N%
+RY
+U
+Y
+`գ
+c
+g#
+j
+mC
+pC
+sc
+vc
+y}
+z
+{
++
+
+
+
+
+
+
+r
+
+~
+
+
++
+
+ (
+
+
+P
+2
+2
+
+
+)
++
++
++
+
+
+?Z
+?o
+@
+@`
+A
+C
+
+
+
+3
+3o
+3
+4`
+5
+7
+
+
+
+
+
+o
+)
+`
+
+2
+
+
+a
+o
+Ǹ
+`
+2
+
+,
+
+
+
+
+
+
+VA
+CVz
+V
+7Vz
+
+
+
+
+
+
+Vz
+Vz
+
+,
+
+
+
+
+
+
+Vm
+
+g
+
+
+,
+
+P
+O
+
+
+
+L
+
+Vm
+
+
+!
+!
+
+
+,
+
+
+ 
+ 2
+ 2
+ 2
+ Y
+ +
+ 2
+ oY
+
+\
+t
+t
+
+
+f4
+b
++
+l
+
++
+
+
+
+
+
+f
+D
+EK
+F
+(
+
+j
+
+
+b
+!
+!
+)
+)
+
+q
++
+ 3
+<
+=
++>
+Q>
+
+
+
+
+$
+Q
+ Y
+3Y
+!
+
+
+
+
+
+'
+
+<m<$.qJD/!!!p Y.
+ !!""d  P!.gFt
+.'/u.
+!u 
+ u 
+tu 
+ u 
+<u 
+X//u. v$t  t  t.7q<<q2p p N.p../pJf%p  p  p..p .p N..pXJp.){f"!#t. t  %t  t  Jt  t  tX .t  t NJ.p.f<O! k C
+</< k<t<O! k C
+</< k<tRx (#x<D4!"!!" ~.w.
+ u  1 ="",Z# < X530y JN'y Cy  y  y. y. y ty<.yXXy .yft 
+<.P0!!"1=!tu .5X~ J..3#-!!Bw #&Y v 
+ ?Dy ".Bz |.ZZX | .K.#222/$X ' t.e vJ x y <y  y l f/jJ+&p.<;J!""!Ov %j.MJ}.'y r.<Cz "<w<t/.Dt"!"";=%kv 
+ u  =b +.~  u.
+ u !u  <^ O.!;!!3!z '!k%
+<'o.n <n Jn 
+</Xwf!
+J4!?1!-k.Jk  k  k../1"/S"!@.t  #?/!!!/Z==lfJ
+fy 'v 
+<r. iJ 
+X hJ 
+.v 
+ ' LLO/////n<L11z P+MRz "$z 0"","01=2@=2N=2SX.{ qO %y ""#x $#!"Mj!#N>!#"=gr <>y %w  w &".T9T"
+ g a   fJ_   !/
+<
+<?2!!f.&?2/!!
+u 
+ &":"/&j$&rf
+ {  y.{. 5!!!!#>I!f"
+XX/}< } <e.E< f  !f  <!>cf !;!!//!/: eJ e .cX!! c  c n  ~ ! `cf!! c  c n  ~ ! eX.1h/ .m  eJ<J
+A 
+A 
+B 
+B 
+A 
+A 
+A 
+A 
+ AAAAAAAA
+A 
+B 
+ 
+AAAAA 
+AA 
+A 
+A 
+AA 
+ A D
+AAAA 
+A 
+A 
+AAAAA AA
+AAA 
+AA 
+AAA 
+AAA 
+A 
+AAAAA 
+BA 
+BA 
+AAAAA 
+AA 
+BA 
+ N
+AAAAA 
+A 
+AAA 
+AAA 
+AAA 
+ A D
+AAAA 
+BAAA 
+A 
+AAA 
+
+1
+
+
+
+
+
+
+
+
+
+
+
+
+
+*
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+R
+
+
+
+
+
+G
+
+0
+
+
+W
+
+6
+V
+9
+:
+<
+
+
+F
+O
+
+
+
+
+
+
+g
+
+
+
+
+
+L
+
+
+
+o
+
+
+/
+
+!
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+%
+B
+8
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+v
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+1
+8
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+